FPGA闪烁灯实现:使用Vivado实现闪烁灯实验

FPGA闪烁灯实现:按键控制led灯闪烁

1、创建vivado工程在这里插入图片描述
2、此处使用的是ZYNQ7000系列的开发板
在这里插入图片描述
3、创建Verilog源文件
在这里插入图片描述
4、编写led_test.v源文件,实现1ms翻转4个led灯一次,用按键控制led灯闪烁
在这里插入图片描述
5.保存文件进行综合,检查语法是否错误
在这里插入图片描述
6、我们可以使用RTL视图来看信号的位宽以及信号之间的传递是否正确
在这里插入图片描述
7、现在我们可以进行仿真,来验证我们设计的逻辑电路是否正确
在这里插入图片描述
8、仿真结果如下图,达到预期仿真效果,1ms 4个 led 灯翻转一次,即实现了led闪烁灯
在这里插入图片描述
9、下来我们进行绑定管脚
方法一:通过window打开I/O port来打开下面的界面,进行管脚绑定
在这里插入图片描述
方法二:通过添加约束文件的方法来进行管脚绑定
在这里插入图片描述
10、我们再次进行综合,进行时序约束
在这里插入图片描述
添加时序约束完成后,我们可以看到约束文件中会多出一行时序控制的命令
在这里插入图片描述
11、接下就是产生bit文件,进行上板验证了,本次实验就到这了

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值