DY-SV17F语音播放模块应用篇一 【IO独立模式】

DY-SV17F模块模式分为I/O组合模式和I/O独立模式,每种模式下又有两种方式——按键触发模式和电平触发模式,低电平有效。

注:

  1. 按键触发是指低电平触发后随即释放电平,类似于按键按下后弹起,故称为按键触发。
  2. 电平触发是指低电平触发后持续低电平,释放电平后立即停止触发,故称为电平触发。

I/O组合模式可以选择播放255首曲目,以8个I/O输出电平的不同组合形式实现;

I/O独立模式可以播放8首曲目,分别由8个I/O单独控制。

本篇只介绍独立模式:

独立模式又分为:

                独立模式0 (按键触发)

                独立模式1 (电平触发)

 

 独立模式0 接线图:

 独立模式1 接线图:

 独立模式0(按键触发) 独立模式1(电平触发)的差别在于:

  1. 按键触发只需要按键按下(低电平)然后松开,然后就会播放一次曲目;如果一直是低电平,就会一直循环播放。
  2. 电平触发是给单片机一个低电平就会一直循环播放。

---------------------------------------------------分界线-------------------------------------------------------

操作过程:

一、去一些语音合成网站合成自己想要的语音

这里提供一个免费网址:文字转语音_免费在线语音合成 - IT工具网

 二、把已经下载好的语音存进SV17F语音播放模块

1.通过USB数据线连接模块

2. 把下载的语音文件放进来

三、按上面的接线图接线(模式0 或 模式1 )

        模块可直接驱动 4Ω,3~5W 喇叭,在选择喇叭的时候需要注意。

四、代码部分

#include <REGX52.H>

sbit SV = P1^0;

void main()
{
	while(1)
	{
		SV = 0;		//给一个低电平触发,接SV17F模块IO4口,对应00005音频文件。
	}                    //或者接IO2口,对应00003音频。
	
}

 音频对应模块IO口关系:

00001——IO0;

00002——IO1;

00003——IO2;

....

....

00008——IO7;

觉得可以放的曲目太少可以移步第二篇:

SV17F进阶版 icon-default.png?t=M276https://blog.csdn.net/qq_51272949/article/details/121961807

SV17F资料下载:

百度云链接:百度网盘 请输入提取码
提取码:1111

  • 22
    点赞
  • 140
    收藏
    觉得还不错? 一键收藏
  • 36
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 36
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值