UVM(四):phase机制与objection机制

1.phase机制的作用

        UVM由phase来管理验证平台的运行。这些phase统一以xxxx_phase来命名,且都有一个类型为uvm_phase、名字为phase的参数。main_phase是uvm_driver中预先定义好的一个任务。因此几乎可以简单地认为, 实现一个driver等于实现其main_phase。driver所做的事情几乎都在main_phase中完成。

        UVM中的phase,按照其是否消耗仿真时间的特性,可以分成两大类一类是function phase,如 build_phase、connect_phase等,这些phase都不耗费仿真时间,通过函数来实现;另外一类是task phase,如run_phase,消耗仿真时间,通过任务来实现

        通过phase机制可以很清晰地将UVM仿真阶段层次化,只有uvm_component类支持phase机制。

2.phase机制的执行顺序

        phase机制使得验证环境从组建到连接,再到执行,得以分阶段进行。UVM中划分了很多个phase,这些phase之间有着严格的层次关系,也遵循着先后执行的顺序关系。

                                                                                                                (图摘自《UVM实战》) 

        上图中,描述了不同phase之间的执行顺序:自上向下执行。而在不同组件中的各个phase,则必须等到每个组件的同一个phase全部执行完毕后,才会开始下一个phase的执行。如下图所示

                

 

3.objection机制

        在UVM中控制仿真的机制有且只有一种:利用raise_objection()将仿真挂起,防止仿真退出;利用drop_objection()关闭并退出仿真。它们必须成对出现,且一般在run_phase()中使用(需要消耗仿真时间)。

挂起:

raise_objection(uvm_object obj = null, string description = "", int count = 1);

关闭:

raise_objection(uvm_object obj = null, string description = "", int count = 1);

         在run_phase()中,至少要有一个组件raise_objection,否则会直接跳转到下一个phase中。并且raise_objection必须在phase中的第一句话就执行,否则也会直接进入下一个phase。

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值