FPGA——iverilog语言学习1

1.模的命名规则

模块都是用module开始,endmodule结束,这就命名一个模块。

module后面是模块名(模块名要求和.v文件名字一致),然后是接口列表。

例如:module md_frist_key ();//这里括号是接口列表

//接口列表里面是: 这个模块的输入输出方向,变量类型和端口名称。

2.变量类型

iverilog语言的变量类型主要有两种,一个是wire型(线型),一个是reg型变量。

注意:输入一定是wire型变量,输出可以是wire型,也可以reg型变量。reg型变量不一定是寄存器类型,但寄存器型变量定义一定用reg。定义变量时不说明位宽默认为1.

3.变量赋值

wire型变量赋值用 assign;reg型变量赋值用 always;

4.测试激励模块testbench

testbench模块给功能模块提供信号源,检查输出正确与否。

测试激励模块可以不写接口列表,变量定义在内部声明时,不用写输入输出方向。

下面是代码学习,每一行都会写注释。

1.建立工程

简历一个文件夹,里面建立一个design文件夹和一个sim文件夹,design文件夹里存放功能设计模块。sim文件夹存放测试激励文件和仿真工程文件。

2.功能模块设计(设计一个与门,输入为key1,key2,输出为led)

3.测试激励文件编写

4.仿真波形

  • 9
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
您可以从\[1\]提供的网址(http://bleyer.org/icarus/)下载iverilog。安装iverilog非常简单,只需按照以下步骤进行操作: 1. 下载iverilog并选择适合您系统的版本。 2. 安装过程中,一路点击“next”,注意选择需要安装的组件。 3. 安装完成后,按下快捷键“win+r”,输入“cmd”打开运行窗口。 4. 在命令行中输入“iverilog”,如果显示了相关信息,则说明安装成功。 另外,根据\[3\]的引用,编译安装iverilog时可能需要一些依赖项,如readline、termcap、gperf、bison、flex、g++、Make和autoconf。您可以根据安装过程的提示逐步安装这些依赖项。 希望这些信息对您有所帮助! #### 引用[.reference_title] - *1* [sublime软件关于使用Verilog开发的一些使用小插件,技巧](https://blog.csdn.net/qq_45029834/article/details/130639689)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [在win10系统中应用iverilog(Icarus verilog学习笔记](https://blog.csdn.net/weixin_43645114/article/details/126892637)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [iverilog安装-从下载到安装](https://blog.csdn.net/limanjihe/article/details/122514229)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值