考试,实验七

一、实验目的
使初学者很快能够熟悉ModelSim的基本,功能,更详细的软件说明和应用举例讲在后续的章节中进行介绍。

二、实验工具
pc机和Quartur ii软件和modlsim软件。

三、实验代码

modules7 ( x, z, clk, reset);
input x, clk, reset;
output z;
reg z;
reg [2:0] state,nstate;
parameter s0='d0, sl=+dl, s2='d2, s3='d3, s4='d4, s5='d5;
always @ (posedge clk or posedge reset)
begin
if (reset)
state<=s0;
else

input x, clk, reset;
output z;
reg z;
reg[2:0]state,nstate;
parameters0=’d0,s1='d1, s2='d2, s3='d3, s4='d4, s5='d5;
always @ (posedge clk or posedge reset)
begin
if(reset)
stale<=s0;
else
state<=nstate;
end


always@(stateor x)
begin
casex (state)
s0:begin

if(x==1)
nstate=s1;
else
nstate=s0;
end
s1: begin
if(x==0)
nstate=s2;
else
nstate=s1;
end
s2: begin
if(x==0)
nstate=s3;
else
nstate=s1;
end
s3: begin
if(x==0)
nstate=s0;
else
nstate=s4;
end
s4:begin
if(x==0)
nstate=s5;
else
nstate=s1;
end
s5: begin
if(x==0)
nstate=s0;
else

nstate=s1;
end
default:nstate=s0;
endcase
end
always @ (posedge clk) 

begin
casex (nstate)
s0:z<=0;
s1:z<=0;
s2:z<=0;
s3: z<=0;
s4: z<=0;
s5: z<=1;
default:z<=0;
endcase
end
endmodule

四、实验截图

五、实验视频

https://v.douyin.com/eq6ohXy/ 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值