4.ModelSim基本仿真流程

一、实验目的
使初学者很快能够熟悉ModelSim的基本,功能,更详细的软件说明和应用举例讲在后续的章节中进行介绍。

二、实验工具
pc机和Quartur ii软件和modlsim软件。

三、实验代码
module fulladd(sum,c out,a,b,c in);
output sum,c out;
input a,b,c_in;wire s1,c1,c2;
XOr (s1,a,b);
and(c1,a,b);
XOr (sum,sl,c_in);
and(c2,sl,c in);
(c_out,c2,c1);
endmodule

module test;
wire sum,c out;
reg a,b,c_in;
fulladd fadd(sum,c_out,a,b,c_in);
/★
initialbegin
#15force fadd.sum=asbecin;
#20release fadd.sum;
#10 stop;
end
★/
initialbegina=0;b=0;c in=0;
#10a=0;b=0;c in=1;
#10a=0;b=1;c in=0;
#10a=0;b=1;c in=1;
#10a=1;b=0;c in=0;
#10a=1;b=0;c in=1;
#10a=1;b=1;c in=0;
#10a=1;b=1;c in=1;
#10 stop;
endendmodule

四、实验截图
在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值