关于systermverilog中的获取coverage相关的三个方法的区别

本文详细介绍了SystemVerilog中获取覆盖率的三种方法:$get_coverage用于计算整个环境的综合覆盖率;get_coverage提供特定类型covergroup的覆盖率,单实例不受权重影响,多实例则受影响;get_inst_coverage则展示covergroup各实例的覆盖率,考虑权重因素。
摘要由CSDN通过智能技术生成

内容参考:公众号→摸鱼范式||芯片验证之路 (里面干货很多)

$get_coverage、get_coverage和get_inst_coverage

总结

$get_coverage获得的是各个类型的covergroup各自的覆盖率按照权重来计算的整个环境总体的覆盖率;

get_coverage获得的是对应类型的covergroup的覆盖率,只有一个实例的covergroup覆盖率不受对应coverpoint权重的影响,但是具有多个实例的covergroup覆盖率会受各个实例覆盖率值的影响;

get_inst_coverage获得covergroup对应的各个实例的覆盖率,会受实例中设定的coverpoint权重的影响。

`timescale 1ns/1ps
module covg;
bit [1:0]data1;
bit [2:0]data2;
bit      clk;

initial begin
  clk=0;
  forever #1 clk=~clk;
end

covergroup covg1 (int y,int z)@(posedge clk);
option.per_instance=1;
type_option.weight=2;
d1:coverpoint data1{
                    bins zoro={0,1};
					bins one={2,3};
					option.weight=y;
					}
d2:coverpoint data2{
                    bins lo&#
  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值