学习笔记——按键控制流水灯实例

功能介绍

  • 之前的流水灯实验就仅仅是流水灯的一个状态。这次,我们就使用一个拨码开关和2个独立按键控制流水灯各种不同变化模式。我们想要实现的功能如下图所示:

在这里插入图片描述

  • 如上图所示:当拨码开关 SW3 处于 OFF 时, LED 停止不动,只有一个 LED 处于点亮,并且点亮的 LED 不会变化;而SW3 处于 ON 状态时,流水灯处于流动状态。按键 S1 被按下后,LED 流动方向是从上到下(D9 到 D2 方向);导航按键 S2被按下后,LED 流动方向是从下到上(D2 到 D9)。

状态机结构

在这里插入图片描述

模块框图

在这里插入图片描述

  • 该模块共有四个输入,复位信号,时钟信号,拨码开关输入信号,按键输入信号。输出信号就是为我们控制的八个LED灯。

代码解析

  • 本例程的代码较长,分部分分别解析
    模块
module cy4(
input ext_clk_25m, //外部输入 25MHz 时钟信号
input ext_rst_n, //外部输入复位信号,低电平有效
input[0:0] switch, //拨码开关 SW3 输入,ON -- 低电平;OFF -- 高电平
input[1:0] key_v, //S1/S2 两个按键输入,未按下为高电平,按下后为低
电平
output reg[7:0] led //8 个 LED 指示灯接口
);
  • 上面这个部分是每个例程都必须要写的步骤。将输入信号和输出信号写到模块内。根据模块框图一一对应就就好。这个在之前的博客里有详细介绍,这里就不做过多的解释了。

按键抖动判断逻辑

wire key; //所有按键值相与的结果,用于按键触发判断
reg[3:0] keyr; //按键值 key 的缓存寄存器
assign key = key_v[0] & key_v[1];
always @(posedge ext_clk_25m or negedge ext_rst_n)
  if (!ext_rst_n) keyr <= 4'b1111;
  else keyr <= {keyr[2:0],key};
wire key_neg = ~keyr[2] & keyr[3]; //有按键被按下
wire key_pos = keyr[2] & ~keyr[3]; //有按键被释放
  • 根据上篇博客的学习我们了解到,按键按下的过程是存在抖动现象的,上面这部分例程就是为了消除按键的抖动,具体内容可查阅https://blog.csdn.net/quanqueen/article/details/113483665
    我们将所有输入的独立按键(我们只使用到了2个独立按键)进行相与的操作,然后赋值给key。然后每个时钟周期都进行采样,从右往左缓存新的键值。wire key_neg = ~keyr[2] & keyr[3];采集的是下降沿的跳变。(keyr3上一个时钟周期的状态,keyr2就是下一个时钟周期的状态)wire key_pos = keyr[2] & ~keyr[3];是采集上升沿的跳变。

定时计数逻辑,用于对按键的消抖判断

reg[19:0] cnt;
always @ (posedge ext_clk_25m or negedge ext_rst_n)
 if (!ext_rst_n) cnt <= 20'd0;
else if(key_pos || key_neg) cnt <=20'd0;
else if(cnt < 20'd999_999) cnt <= cnt + 1'b1;
else cnt <= 20'd0;

reg[1:0] key_value[1:0];
always @(posedge ext_clk_25m or negedge ext_rst_n)
 if (!ext_rst_n) begin
 key_value[0] <= 2'b11;
 key_value[1] <= 2'b11;
end
  else if(cnt == 20'd999_999) begin //定时键值采集
key_value[0] <= key_v;
key_value[1] <= key_value[0];
end
wire[1:0] key_press = key_value[1] & ~key_value[0];//消抖后按键值变化标志
  • 这里定时器有一个计数20ms的时间,这里如果出现key_pos或者是key_neg那么就将计数器清零,如果没有出现那么就正常让计数器计数。当计数到最大值999_999后将计数器清零。
  • key_value为2bit的寄存器,分别缓存前后两个稳定的键值。当计数器计数到最大值999_999时才会采样。如果处于抖动状态,那么就容易清零,当处于稳定状态至少满20ms(最大值)后才进行采样就是一个稳定的键值。
  • key_press是判断key_value[1] 与key_value[0]是否有跳变,真实的按键被按下了,那么key_press就会被拉高。
  • 有了按键值标志信号 key_press,我们接下来就用它来控制 LED 流水灯的 2 个指示信号,即 LED 流水灯工作使能信号led_en 和 LED 流水灯方向控制信号 led_dir。
流水灯开启、停止和流动方向控制开关、按键值采集
reg led_en; //LED 流水灯工作使能信号,高电平有效
reg led_dir; //LED 流水灯方向控制信号,1--从高到低流动,0--从低到高流动
always @ (posedge ext_clk_25m or negedge ext_rst_n)
    if(!ext_rst_n) begin 
       led_en <= 1'b0;
       led_dir <= 1'b0;
    end
    else begin
//流水灯开启/停止控制
    if(!switch[0]) led_en <= 1'b1;
    else led_en <= 1'b0;
    //流水灯方向控制
    if(key_press[0]) led_dir <= 1'b0; //从低到高流动
    else if(key_press[1]) led_dir <= 1'b1; //从高到低流动
    else ;
end

LED 流水灯变化延时计数器

reg[23:0] delay;
always @ (posedge ext_clk_25m or negedge ext_rst_n)
    if(!ext_rst_n) delay <= 24'd0;
    else delay <= delay+1'b1;

流水灯开启、停止和流动切换控制

always @ (posedge ext_clk_25m or negedge ext_rst_n)
if(!ext_rst_n) led <= 8'b1111_1110;
else if((delay == 24'h3fffff) && led_en) begin
case (led_dir)
1'b0: led <= {led[6:0],led[7]}; //从低到高流动
1'b1: led <= {led[0],led[7:1]}; //从高到低流动
default: ;
endcase
end
else ;
endmodule

最后两个 always 语句,前者对 24bit 计数器 delay 做循环计数,用于产生 LED 流水灯
变化的切换频率;后者则根据 led_en 和 led_dir 信号控制 8 个 LED 流水灯实现最终的工作
与否以及流动方向控制。

效果展示

下载程序完成后,LED灯处于全灭状态
在这里插入图片描述
打开SW3,流水灯开始进行进行
打开SW3后,按下按键S1,小灯从D9到D2方向依次点亮。
在这里插入图片描述
在这里插入图片描述

打开SW3按下按键S2,小灯从D2到D9方向依次点亮
在这里插入图片描述
在这里插入图片描述

  • 5
    点赞
  • 49
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

热爱生活的fuyao

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值