学习日记——Quartus工程创建与运行

一、新建工程

双击电脑桌面上的“Quartus II 13.1 (64-bit)”图标,或者单击“开始→程序→Altera
13.1.0.162 →Quartus II 13.1.0.162”,打开 Quartus II 软件。Quartus II 软件主界面如图所示
在这里插入图片描述第一次打开软件,通常默认由菜单栏、工具栏、工程文件导航窗口、编译流程窗口、主编辑窗口以及各种输出打印窗口组成。
下面我们要新建一个工程,在这之前建议大家在硬盘中专门建立一个文件夹用于存储我们的 Quartus II 工程,这个工程目录的路径名应该只有字母、数字和下划线,以字母为首字符,且不要包含中文和其他符号
在这里插入图片描述
在菜单栏上点击“File→New Project Wizard…”,首先弹出了 Introduction 页面
在这里插入图片描述
点击 Next 进入 Directory,Name,Top-Level Entity 页面
在这里插入图片描述
● 在“What is the working directory for this project?”下输入新建工程所在的路径。如
本实例工程的存放路径为“D:/myfpga/DK_SF_CY4/project/cy4ex1”。
● 在“What is the name of this project?”下输入工程名,如本实例的工程名为“cy4”。
● “What is the name of the top-level design entity for this project? ……”下输入工程顶
层设计文件的名字。通常我们建议工程名和工程顶层文件保持一致,如这里统一命名“cy4”。
设置完毕,点击“Next”。新出现的页面中可以“Add Files“添加已有的工程设计文件(Verilog 或 VHDL 文件),因为我们是完全新建的工程,没有任何预先可用的设计文件,所以不用选择。
在这里插入图片描述
接着点击“Next”,进入“Family & Device Setting”页面
在这里插入图片描述
面主要是选择元器件,我们在“Family”中选择“Cyclone IV E”系列,“Available device”中选择具体型号“E4CE6E22C8”。接着再点击 Next 进入下一个页面。
在这里插入图片描述
在 EDA Tool Settings 页面中,可以设置工程各个开发环节中需要用到的
第三方(Altera 公司以外)EDA 工具,我们只需要设置“Simulation”工具为“ModelSim-Altera”,Format 为“Verilog HDL”即可,其他工具不涉及,因此都默认为。
在这里插入图片描述
完成这个页面的配置后,我们可以点击“Next”继续进入下一页面查看并核对前面设置的结果,也可以直接点击“Finish”完成工程创建。工程创建完成后,在“Project Navigator”窗口中出现了我们所选择的器件以及顶层文件名,但是实际上此时我们并未创建工程的顶层设计文件,只不过给他命名为了 cy4。我们若双击试图打开 cy4 文件,系统马上会弹出“Can’t find design entity “cy4””的
错误提示。
在这里插入图片描述
在这里插入图片描述

二、源码文件创建

下面我们就来创建工程顶层文件,我们可以点击菜单栏的“File→New…”,然后弹出如图所示的新建文件窗口。
在这里插入图片描述
在这里我们可以选择各种需要的设计文件格式。可以作为工程顶层设计文件的格式主要在 Design Files 类别下,我们选择 Verilog HDL File。并单击 OK 完成文件创建。
紧接着本来应该出现Notepad++主编辑窗口弹出的新建的 Verilog 文件但是由于各种原因,所以这里不演示Notepad++,下个博客与Notepad++结合。
紧接着在主编辑窗口出现编辑栏。直接编写代码后快捷键 Ctrl+S 或点击
“File→Save”后则会弹出一个对话框提示输入文件名和保存路径,默认文件名会和我们刚创建的工程文件夹下即可。
在这里插入图片描述
点击保存后,工具栏的按键就可以按啦。现在点击运行按钮即可实现综合。综合成功后,就是如图所示现象。在这里插入图片描述
通过上步骤,说明Quartus工程创建与运行成功

参考链接

https://www.bilibili.com/video/BV1rJ411T7xH?from=search&seid=12732057004092753331

  • 10
    点赞
  • 53
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

热爱生活的fuyao

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值