Verilog之初章---自用

这篇博客介绍了Verilog语言的基础知识,它是一种广泛应用于数字电路系统寄存器传输级建模和验证的硬件描述语言,具有类似C语言的语法。文章适合初学者了解Verilog的用途和基本概念。
摘要由CSDN通过智能技术生成

语言简介

Verilog语言是一种用于建模电子系统的硬件描述语言,这种硬件描述语言最多的用于进行数字电路系统的寄存器传输级建模和验证工作,同时一些例如生物电路等数模混合电路的建模和验证电路。Verilog语言的语法规则与C语言有很多相似之处。

第一段代码
在这里插入图片描述

module mul_module( 
    mul_a     ,
    mul_b     ,
    clk       ,
    rst_n     ,
    mul_result
    );
    
    parameter    A_W = 4;
    parameter    B_W = 3
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值