verilog编译问题:SystemVerilog construct not yet implemented: nested module

1. SystemVerilog construct not yet implemented:  nested module.

  开始怀疑模块代码有问题,后来无论怎么改都不正确。最后把文件顺序调换后,又删掉lib,发觉问题不在了。

再调换编译顺序,还是没有问题。怀疑是lib中有残留的错误数据,导致编译混乱。因为用的是增量编译,有这个

可能。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值