virtual sequence 的用法。

刚刚在看UVM实战,做个笔记以免忘记。
使用步骤。
1、定义一个virtual sequencer 。在里面声明一下我们真正的sequencer。
2、在env里面将virtual sequencer声明的sequencer和真正的sequencer连接起来。
3、定义一个virtual sequence。在里面用我们真正的sequence。(重点是将virtual sequence对应的sequencer指定为virtual sequencer)
4、在tesecase里面设置在virtual sequencer 的main phase 里面启动virtual sequence .

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值