Literal Values Systemverilog 笔记 1

学习了几种Literal。

  1. Integer literals

    包含四种值,0,1,x,z

  2. Real literals

  3. Time literals

    Time通常为整数型,输出Time时,会将当前的时间以所设置的时间周期为基准,输出周期数

  4. String literals

    可用\换行输入更长的字符串

  5. Array literals

    byte a [0:1][0:2] = '{'{0,1,2},'{3{8'h9}}};
    /*声明数组a,大小为2,元素为长度3的一维数组*/
    
  6. Structure literals

    Typedef struct { 
    	byte a;
    	reg b;
    } myStruct;
    

原本以为Literal就是数据类型,但是发现Literal values下面有单独一章Data types,才发现理解错了。查阅后也没有明确得到Literal的中文术语,很多只是直译,即字面量或常量。在我理解来看,Literal是指某一数据类型的具体值。比如上面的myStruct,就是一个Literal,Struct Literal,而数据类型就是Struct。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值