Verilog简单的组合逻辑设计

先用notepad++写好模块源代码,代码如下:

module compare(equal,a,b);
    input a,b;
	output equal;
	assign equal = (a==b)?1:0;
endmodule

测试模块用于检测模块设计是否正确,代码如下:

`timescale 1ns/1ns
//`include "./compare.v"
module t;
reg a,b;
wire equal;
initial
     begin
	   a = 0;
	   b = 0;
	 #100 a=0; b=1;
	 #100 a=1; b=1;
	 #100 a=1; b=0;
	 #100 a=0; b=0;
	 #100 $stop;
	 end
	 
	 compare m(.equal(equal),.a(a),.b(b));
endmodule

组合逻辑仿真波形如下:



由仿真图可知,模块设计正确。


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值