自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

何解然_K|user

问与苍茫,幽云敢暗上

  • 博客(111)
  • 资源 (1)
  • 收藏
  • 关注

原创 数据库课程 CMU15-445 2023 Fall Project-1 Buffer Pool Manager

本章按照任务书,需要完成LRU-K替换策略磁盘调度器——后台线程接收请求,处理数据的读/写。缓冲池管理——使用上面完成的功能,来对抽象的页操作。一个双向链表容器push_back头插入:push_front删除元素:pop_backpop_fronterase获取元素:front()back()

2024-09-14 15:44:48 647

原创 数据库课程 CMU15-445 2023 Fall Project-0 项目记录

2.1Trie::Put 任务2.1.1字符串遍历结束时候,每一个字符都可以在map容器中找到对应的节点,此时需要创建类型的节点,并继承原来TrieNode节点的所有孩子。2.1.2字符串遍历过程中,无法在map容器中找到节点,此时需要创建TrieNode节点,并继续遍历,知道字符串遍历结束,创建节点,存入值。2.1.3空键值的插入2.1.4空trie的插入PS补充:在这个任务中,我看很多人都是使用的栈——自底向上的构建,其实不然,自上向下也是可行的(我正是这种方法),原因在于通过。

2024-09-09 18:46:05 848

原创 jetsonNano烧录Ubuntu20.04镜像使用ROS2

本来想要参考来进行升级。但是此过程也有大坑,我的目的是,除了升级Ubuntu20.04,在上面使用ROS2,我还希望我写的代码可以使用上Pytorch。

2024-08-30 15:04:29 504

原创 InvertedPendulum-v4 环境上,RL结果验证

使用DDPG,REINFORCE,SAC实验DDPGDDPG参数颇多,不好调参结果(V4上环境的最高得分上限就是1000)提高隐层数量256挑选最好的模型测试,表现结果还不错降低 batchsize结果:REINFORCEGym官方提供版本中:Gym官方代码发现:价值是有不断提升的,但是方差很大,需要训练时间长尝试一:修改奖励函数结果,一开始稳定提升,后来开始波动,使用最好和最新的网络测试,结果很差SAC测试结果:可以发现模型在650轮左右,已经能够也很好。

2024-08-17 10:24:58 296

原创 ubuntu安装新版本的CMake

我需要在嵌入式板子上的Ubuntu18安装使用。按F12进入检查模式得到下载链接。在板子上运行以下命令,获取安装脚本。故我选择aarch64版本。

2024-02-28 19:38:52 538

原创 Vscode SSH使用云服务器访问内网主机

在Ubuntu系统中/etc目录下面已经不存在rc.local文件了。成功实现:使用aliyun作为跳板机来访问内网主机jetsonNano。云服务器在配置策略中开放使用到的端口号,比如250和251作为使用。推荐写一个服务使用systemctl来控制开机执行。,系统将自动启用rc-local.service。可以验证服务每次开机启动之后将会被执行。,将内网主机的公钥放到服务器上。来启用或者禁止某个开机服务。给rc.local文件增加。内网中主机使用,建立隧道。首先在内网主机上使用。

2024-02-07 21:45:25 1367

原创 C语言实现CRC检测

CRC冗余检验

2023-03-13 14:28:09 206

原创 C语言中内存区域

C语言中变量存储区域

2023-03-12 16:20:01 138

原创 esp-idf V4使用 lvgl8.1做为components组件配置使用

GitHub上有一个项目叫做esp-iot-solution里面包含了常用的屏幕,按键,编码器,音频等。其中gui使用的是lvgl7。仓库使用git获取下来之后,可以直接cd切换到examples/hmi/lvgl/example中,使用idf.py menuconfig配置完成之后,更改引脚配置之后。idf.py build,然后windows上idf.py -p com4 flash烧录即可。由于它使用的是lvgl7。但是最新的lvgl已经是8.1。既然要学习lvgl,就拿最新的好了。在lvgl的官

2021-09-28 11:19:57 4747

原创 IIC,SPI,intel 8080,IIS,UART

其实关于协议,最好的学习方法是直观的看波形图,这也是我这篇文章的主要演示形式。使用某种协议,无法与某个器件成功通信,这并不是什么大问题,最不济拿到一个逻辑分析仪抓一下波形,最终就能找到问题所在。1.IICiic的串行数据是在时钟线高电平时候读取,高电平期间,不允许sda发生电平改变。iic的两根线分别是时钟线和数据线,因为只有一根数据线,所以读写是互斥的,所以属于半双工通信。对器件操作,需要先发送器件地址,8bit数据的前7位标识器件地址。最后一位0表示写,1表示读。2.SP...

2021-09-13 19:17:42 4233

原创 宏定义中使用省略参数

与以前介绍过的函数里面省略号,多参数使用不同,宏定义中使用多参数测试demo{#include "stdio.h"#include "string.h"#include "stdarg.h"#define BT_UUID_DECLARE_128(value...) printf("%s\n",#value);#define OTA_CHA_TX_UUID BT_UUID_DECLARE_128(0xe3,0xea,0x28,0xe1,0xf6,0x9a,0x11,0xe8,\ .

2021-04-01 10:38:57 710

原创 设备树中使用SPI驱动ST7735S

在设备树中使用SPI驱动ST7735S ST7735S的手册里,可以看到,需要配置时钟为常态高电平,上升沿采样https://www.docin.com/p-2164574819.html在zephyr的设备树中配置如下: lcd_st7735:st7735@0{ compatible = "sitronix,st7735"; reg=<0>; spi-max-frequency = <8000000>; /* 8MHz */ label = "ST

2021-03-12 11:58:40 3472 1

原创 openocd在linux中烧录

使用openocd在linux中烧录我最近在使用zephyr对STM32进行开发,用到了openocd进行烧录,所以就记录一下,怎么烧录的打开一个端口,新建一个xxx.cfg文件,内容如下:interface cmsis-daptransport select swdsource [find target/stm32f1x.cfg]我这里使用的是cmsis-dap,如果是jlink,stlink之类去别处,查看一下怎么修改吧使用命令openocd -f ./xxx.cfg 在重新开一个

2021-03-12 11:51:15 751

原创 STM32使用CMSIS-DAP下载zephyr生成文件

前几天刚装配好zephyr的环境,因为手头没有现成的开发板,所以就去淘宝买了一块开发板,我的要求是:最好带一块屏幕,不论是oled还是lcd,有按键,足够小,这样我在zephyr上面才能够使劲折腾。然后我就找到了一块合适的板子:魔女开发板,有屏幕,有按键,关键是,上面自带了下载器,不需要我额外去买jlink或者stlink了。在我打下west flash命令之后,果断报错:-- west flash: using runner openocd-- runners.openocd: Flashing

2021-03-08 20:08:44 4152

原创 zephyr在Ubuntu18.04安装使用

zephyr在Ubuntu18.04安装使用1. 安装参考官网:https://docs.zephyrproject.org/latest/getting_started/index.html首先:sudo apt update //查看哪些可以更新sudo apt upgrade //进行实际的更新然后:安装依赖项sudo apt install --no-install-recommends git cmake ninja-build gperf \ ccache

2021-03-04 21:09:03 600 1

原创 C语言细节

宏定义中使用常亮后缀节约空间#define PI 3.14f //默认时double,加上f声明为floatconst 修饰时候的顺序const int* a;//a指向的值是不可以修改的,但是a的值可以修改int* const a;//a指向的值可以修改,但是a的值不可以修改当无符号和有符号类型操作时,有符号类型会转换为无符号类型unsigned int a=-10;int b=10;a>b?printf("a>b"):printf("a<b");//因为负数转换为无

2021-02-05 14:35:04 213

原创 嵌入式C语言中常见不常用的语法

嵌入式C语言中常见不常用的语法1. 不定参数需要包含头文件#include "stdarg.h"头文件里主要包括一个变量类型va_list,三个函数va_start,va_arg,va_end用法参照下面的:#include "stdio.h"#include "string.h"#include "stdarg.h"int add(int pre,...){ va_list arg_ptr;//定义一个va_list类型变量 int sum=pre; int

2021-01-07 19:38:32 207

原创 最理解makefile——重新开始笔记

预处理:gcc -E main.c>main.i//进行预处理,完成头文件加载,宏替换,条件编译编译:gcc -S main.i -o main.s//翻译为汇编代码汇编:gcc -c main.s -o main.o链接:gcc main.o

2020-12-22 21:59:32 245 1

原创 随笔

无逗号,默认合并为一个字符串char a[]="hhhh" "bububu";const修饰的指针只是表示所指向的数据不允许修改,而不是指针指向地址不允许修改定义一个指针的话,也是需要空间去存储这个指针的,同普通类型int,char等等一样...

2020-12-21 18:59:32 266 1

原创 git使用推送到GitHub

前提是空库或者是从GitHub上clone下来的库克隆远端库git clone git@xxx.git移除远端:git remote rm origin添加远端gir remote add origin git@xxx.git提交库git push -u origin master.gitignore文件放在.git文件的同级目录处,在git管理的其他文件下仍可保留单独的.gitignore...

2020-12-19 15:04:12 164 1

原创 为什么函数定义不放在头文件中

在头文件中,会被多个源文件包括,在编译阶段会出现重复定义的问题特殊的是内联函数,C++ primer中推荐内联函数的定义写在头文件中。

2020-07-29 22:35:51 1086

原创 y7000开机之后迅速黑屏,但是系统仍在运行

我今天真的是运气TMD,先是上午家里断电,换掉锡丝,下午,正在打印东西,都一半了突然电脑桌面卡顿一下,然后黑屏。卧槽,我的耗材!!!!/(ㄒoㄒ)/~~/(ㄒoㄒ)/~~电脑长按开机键关机,然后重启,刚输入密码登上系统,又突然黑屏.....我他喵后来发现,黑屏后,系统似乎还在运行,因为当时网易云还在运行,还可以空格键放歌问了客服 ,让静电恢复一下具体流程:关机后,移除电脑上的外接电源以及u盘、鼠标等所有的外接设备,在不接电源的情况下,按开机按钮20秒以上,然后接上外接电源,.

2020-07-05 20:50:50 9751 2

原创 solidworks将正视于放在触手可及的地方

选择工具->自定义选择命令,标准视图

2020-05-23 15:53:43 511

原创 四足笔记

robot.c#include "robot.h"#include "delay.h"/* __________ __________ _________________ |1_____)0 4(______5| |__| |left FRONT right| |__| | ...

2020-05-07 19:50:52 273

原创 关于为什么重载=要使用引用

先那我写的作为一个例子来说明吧 person& operator=(person& p)//使用引用 { if (m_age != NULL) { delete m_age; m_age = NULL; } m_age = new int(*p.m_age); return *this; }在VS下单步调试会发现,如果不使用引用执行到...

2020-03-19 21:48:04 1438

原创 AD18导入的3D模型颜色是白色解决

问题描述:从Solidworks导入AD18的step文件,显示为白色解决方法:Solidworks中保存step文件时选AP214格式,不要选择AP203建议:重新导出时建议起一个和上一次不一样的名字,不然重新导入AD依然是白色效果:...

2020-03-18 12:48:24 5264 1

原创 AD18隐藏3D模型

3D视图下查看快捷键0,9,8对应正视图之类shift+右键自由旋转查看

2020-03-15 17:42:40 6528

原创 Fusion360 画PCB导入库时,出现问题:主机需要身份验证Host requires authentication

所遇到问题如图:解决方法:使用fusion360的邮箱账号登录两个网站1:https://library.io/2:https://www.autodesk.com/products/eagl登录之后,重新来到fusion360,再次尝试打开库,就能自动下载了...

2020-03-13 20:14:36 1718

原创 壁纸

2020-02-28 13:58:00 380

原创 C补充其二

指针函数<强调这是一个函数>联合与枚举联合:union<共用一片内存,成员互相会影响>注意初始化造成的区别花括号一个数字默认第一个成员得到初始化切记使用时指明成员,不要像下面一样,会出错的另一种初始化和使用枚举<方便用户理解程序>qsort快排<stdlib.h>数组首址,排序个数,类型大小,比较函...

2020-01-09 21:51:17 133

原创 C补充其一

C补充<其一>摘自C Primer Plus(第六版)几条好习惯:1.最好不要把初始化变量和未初始化变量放在一个声明中(P45)2.if(5==num),既把无法赋值的常数放在左边数据类型:(P47,P60)---以下()内为特定机器测得出,不一定适合每一台机器int <默认是signed int><大小不小于16位,既2个字节>(siz...

2020-01-08 14:14:53 126

原创 ubuntu16 wifi连接

lspci -knn | grep Net -A2//使用该命令查看网卡型号//注意Network controller后面内容即可,如果我们的型号不一样,你可以复制后去网上找这个型号的肯定有兄弟已经解决了找到网卡型号,寻找相应驱动,运行就行了,不需要重启电脑就有了;我的网卡刚好参照这篇文章得到解决https://community.linuxmint.com/tutori...

2020-01-03 20:03:12 334

原创 juicessh连接树莓派认证失败

SSH的密码和名字,起错了没有???,大哥,树莓派默认用户是pi,密码是raspberry,把认证用户密码改为这个就OK了还有便是树莓派要有一个ip,这个ip必须和手机是一个局域网内的,所以如果你是通过网线连接电脑和树莓派,树莓派获得的ip,手机端是不认识这个ip的(这里说的不太准确。。。)附带vncviewer和juicessh安装包https://pan.baidu.com/s/1M-...

2019-12-30 23:09:26 4044

原创 Stlink无法检测到芯片

在Keil中下载时候,芯片无法检测,显示no target connect按着复位按键,点击keil中魔法棒工具,点击setting可以看到可以检测到芯片了点击确定退出中间不要松手点击下载,立刻松开复位键将程序刷进去就行了问题原因,可能是谁禁止了swd调试...

2019-08-10 00:31:42 14515 10

原创 STM32单片机操作系统设计

代码地址:https://github.com/shuiyihang/HIOS包括systick滴答时钟,PendSv中断向量重写任务切换

2019-07-31 15:24:44 1144

原创 MDK5报错

error: #77-D: this declaration has no storage class or type specifier error: #147: declaration is incompatible with "H_PCB *Task1PCB" (declared at line 13)错误是结构体变量定义后,在函数外操作赋值,把它放进函数里面就行了搞了...

2019-07-28 11:20:52 650

原创 结构体对象地址与第一个成员的关系

两者的关系是相等的#include<cstdio>typedef struct node{ struct node *head; struct node *tail;}Node;typedef struct two{ Node Str_Que; int k;}Test;int main(){ Node root; T...

2019-07-26 22:29:38 1256

原创 中国高校智能机器人---魔方机器人经验帖

前述:这个项目从寒假放假开始做,在学校里面待了七八天,把机械结构搭好,初始代码有一个雏形,开学之后断断续续的总共做了一个月左右,最后结果比较悲情,结果上传后,很遗憾大佬太多(或是比赛自身公允度也值得怀疑。。。。),但我们自己做的确实较菜,提交的视频是双臂运行了52S。最后是被淘汰的,无缘进入决赛。但对于个人来说,东西是做出快来了,我已无愧。地址:https://github.com/shu...

2019-04-28 11:00:17 3925 4

原创 彩色图像的直方图均值化

可以看到src[:,:,0]与cv.split()的第一个分量是相等的,与src[0]并不相同import cv2 as cvimport numpy as npimport matplotlib.pyplot as pltsrc=cv.imread("C:/Users/surface/Downloads/svmtest/view.jpg")# cv.imshow...

2019-03-31 17:32:41 509

原创 双重指针赋值

int *p;p=(int *)mallloc(sizeof(int)*len);*p=1;//p[0]=1*(p+1)=2;//p[1]=2二:双重指针赋值bool **p;p=(bool**)malloc(sizeof(bool*)); cout<<"input array"<<endl; for(int i=0;i<m;...

2019-03-17 20:49:55 1804

手机端iot MQTT Panel

安卓端使用软件,使用MQTT协议。

2019-02-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除