自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(37)
  • 收藏
  • 关注

原创 13种改进粒子群优化算法 matlab2022 运行结果和耗时对比

阅读粒子群优化算法的文章,发现代码不仅要付费而且还没有运行结果,需要自己手动写代码运行,这里提供下我的运行结果。包含参数结果和耗时对比。其中AsyLnCPSO.m。

2023-10-05 21:56:54 603

原创 正点原子ubuntu虚拟机 使用QT虚拟键盘

这里可能会出现没有权限,我的方法是运行命令 sudo nautilus,打开一个具有管理员权限的文件管理器,然后就可以在不切换到管理员的条件下拷贝文件。本文参考这篇文章,使用正点原子的Ubuntu虚拟机,成功实现QT虚拟键盘,其中使用的方法做下记录,以免后续读者自己调试浪费时间。也可以敲命令编译,先使能环境,调用qmake生成Makefile,最后make,操作比较复杂之间用QT creator。然后用QT creator打开,编译器选择 Desktop_Qt_5_12_9_GCC_64bit。

2023-07-21 18:14:24 1185 1

原创 正点原子linux 使用QT 自动检测u盘插拔并寻找特定文件

笔者刚解决完这个问题,前期有些忘了,一点一点回忆。会慢慢更新。

2023-06-27 16:49:01 1956

原创 akima 插值拟合算法 Python/C++/C版本

鉴于C站上Akima算法文章大部分要VIP观看或者下载,即使是付费也有质量不佳,浪费Money也浪费时间。笔者更具查到的资料分享给大家。

2023-06-20 23:05:29 1754 1

原创 VScode里的终端,Anaconda下的命令提示符和 PowerShell的联系

直接看两个节目就知道了,PowerShell打开是提升加载个人及系统配置就是在CMD的基础上进行的,PowerShell下的CMD前面多了PS字样。安装conda之后,在使用VSCode的时候,每次在里面使用powershell终端都是默认进入base环境,我想运行C++程序也给我打开base环境,运行其他语言也打开base环境,Anaconda环境主要是用于python,下面几种方法可以取消。Anaconda PowerShell Prompt对应的命令为。如果退出了想在进入在PowerShell输入。

2023-05-06 14:04:43 2272

原创 QSerialPort的waitForReadyRead调用超时问题

写串口收发函数的时候调用waitForReadyRead老是发生超时问题,对比了官方参考示例,不能再connect(&serialPort, SIGNAL(readyRead()), this, SLOT(serialPortReadyRead()));的serialPortReadyRead()里再调用waitForReadyRead了,一定是超时的。下面是官方例程,官方再不定时的串口接收使用connect(&serialPort, SIGNAL(readyRead()),…

2023-04-19 11:05:18 2497

原创 移远4G模块 EC200x EC600 系列AT命令手册

本文是译至移远官方文档 xxx_AT_Commands_Manual目录1.简介1.1.AT命令语法1.2.支持的字符集1.3.能用AT命令接口1.4. 未经请求的结果代码1.5.关闭程序2.一般命令3.串行接口控制命令4.状态控制命令5.SIM相关命令6.网络服务命令7.电话簿命令8.短消息服务命令9.数据包域命令10.硬件相关命令1.简介这款芯片的AT命令集是遵循国际标准3GPPTS27.007、3GPPTS27.005以及Quectel开发的专用AT命令的组合。1.1.AT命令语法AT命令要

2023-03-24 16:56:36 7717

原创 裸机条件下写一个基于时间片轮转的多任务并发程序

在学习各种MCU的时候,都是用在main函数里写一个while(1){/* 执行代码 */},这种方式只能一个函数运行完以后再运行另一个函数。假设需求控制多个模块,如显示屏幕信息的同时控制电机,还要一边接收按键输入。如果用上面的方式每个模块要排队等待CPU运行,就会显的很卡。那有没有办法每个模块运行固定的时间,时间到了运行下一个模块,这样单个模块即使特别耗时,也不影响其他模块的运行,这个方法叫时间片轮转。想到这个办法很容易,但要怎么编写代码呢?

2023-03-24 16:51:43 1085 2

原创 正点原子Linux 触摸芯片改成GT911后的驱动修改(单点和多点触摸)

GT911 使用正点原子linux核心板 需要注意的事项

2022-12-16 16:13:59 2470

原创 正点原子Alpha 添加RTC PCF8563 的IIC驱动

此篇基于学完【正点原子】I.MX6U嵌入式Linux驱动开发指南V1.6 后,使用核心板进行自行设置。

2022-11-22 12:18:59 1809 1

原创 RTthread msh控制台的密码设置

rtthread msh 密码管理

2022-10-18 17:02:49 677

转载 在main()之前,IAR都做了啥?

最近要在Cortex-M3上写一个简单的操作系统,打算使用IAR,为了写好启动代码,花了一些时间了解了IAR在main()以前做了些什么事。首先系统复位时,Cortex-M3从代码区偏移0x0000’0000处获取栈顶地址,用来初始化MSP寄存器的值。接下来从代码区偏移0x0000’0004获取第一个指令的跳转地址。这些地址,是CM3要求放置中断向量表的地方。这里是一个程序的启动区的反汇编:__vector_table:08004000 260008004002 200008004004

2022-09-19 09:28:29 229

原创 滤波电容的选择

滤波电容主要看容值和耐压值电容尺寸=容值x耐压值。电容价格=容值x耐压值。电解和钽电容耐压值要x2倍使用,陶瓷电容至少x1.5倍使用电容选择的逻辑是频率越高,电容越小器件频率/滤波电容值音频100~1KHz10uF~100uF以上音频20~100Hz100uF~220uF电机等1K~100KHz1uF~10uF普通IC1M~10MHz100nFMCU10M~100MHz10nF射频RF900M~2.4GHz12pF~33p

2022-09-19 09:19:33 7866 2

原创 Allegro学习笔记之——覆铜

选中Shape圆——>右键->选择Expand/Contract点击+是往外扩10mil,点击-是往内收10mil,后面的10mil是外扩或收缩的半径。

2022-03-16 09:52:37 6094

原创 stm32f4 CubeMX生成IAR工程 移植ST官方 Bootloader 教程

目录一、前言二、CubeMX生成 IAR 工程1.CubeMX生成工程2.官方的IAP例程三、移植bootloader1.移植相关代码2.flash_if.h文件修改3.准备App程序4.烧录*.bin四、调试及其他注意事项1.中断向量表偏移没设对2.进入App后时钟起不来或时钟混乱3.在bootloader的工程里怎么调试app程序一、前言产品发布后的升级不能再依赖于烧录器,如果能用产品预留的串口就可以更新芯片的APP程序,对于一些不便于拆卸的产品,这种维护方式非常好用。bootloader的代码有

2022-03-15 22:04:44 3552

原创 stm32 RCC global interrupt 等不常见中断

stm32中断向量表里有很多中断都很少碰到,但是在CubeMX配置的时候又有本篇文章来记录下stm32 不常见中断1.RCC global interrupt中文资料中基本上没有提及这个中断。我在外网EMCU这个网站找到对于这个中断的叙述,大意是CubeMX配置了RCC global interrupt中断后,当在程序执行过程中改变时钟速度配置的时候会在时钟配置操作结束进入这个中断。看上去是时钟在降频升频过程中调用的中断,本人没有触发过,有用到的小伙伴可以补充下。In the RCC – NVI

2022-03-15 15:50:38 3305

原创 标准差分母是n和n-1的区别(有偏估计与无偏估计)

2022-03-03 10:52:14 5155 1

原创 Source Insight 4.0 项目名称重命名

有时候我们复制了Source Insight 4.0的项目后需要重命名,但是怎么操作呢?打开项目后再Project->Copy Project.输入一个新的项目名称,然后可以选择修改项目存放路径,点OK确认。然后为了原来的*.si4project就可以删除了。再次进入Project->Open Project 里就有新的项目名称的选择了。...

2022-01-10 14:19:44 2617

原创 如何选择合适的运放

目录处理直流信号1.原信号的输出阻抗/输入失调电流2. 输入失调电压3. 温漂4.耗电5.工作电压处理交流信号1.交流信号频率2.交流信号幅值3.增益带宽4.工作电压5.开环增益6.噪声密度处理直流信号1.原信号的输出阻抗/输入失调电流即原信号的带载能力,如果带载能力很小说明输出阻抗很大,对运放要求输入阻抗要远远大于原信号输出阻抗,否则运放的输入偏置电流就会对原信号的影响非常明显。如果规格书没有输入阻抗,可通过输入失调电流来判断。2. 输入失调电压输入失调电压正负值都有,包括典型值和最大值,如果

2021-08-26 14:18:00 2333

原创 Allegro 隐藏GND的飞线/元件对齐/更新封装/删除多余走线和覆铜/生成闭合outline等问题

点击下图所示按钮在Properties -> General Properties -> No Rat, 这里可以修改显示不显示Rat(鼠线)。

2021-08-26 13:25:36 4017

原创 运放参数 分析 (LMC6482 为例)

Input offset voltage 输入失调电压(MIN 代表参数的最小值 TYP 代表参数的典型值 MAX 代表参数的最小值)输入失调电压的测试方法是将运放的两个输入端接地,测输出电压,理想运放此时输出应该是0V,但由于制造工艺问题会造成两个输入端不对称。将此时的输出电压除以运放的增益倍数就是失调电压。比如LMC6482运放的输入失调电压Vos为0.11mV,电压增益是130dB,那么测得的输出失调电压就是14.3mV。放大系数转化为分贝的公式为:20×lgA,其中A为放大系数所以,.

2021-08-25 18:16:24 2621

原创 Allegro图层名称与Gerber文件名称关联

GTL—toplayer 顶层—Allegro Top层GBL—bottomlayer 底层—Allegro Bottom层GTO—TopOverlay 顶层丝印层—Allegro Silkscreen_Top层GBO—BottomOverlay 底层丝印层—Allegro Silkscreen_Bot层GTP—TopPaste 顶层表贴(做激光模板用——钢网)—Allegro Pastemask_Top层GBP—BottomPaste 底层表贴—Allegro Pastemask_Bot层GT

2021-08-25 10:22:24 687

原创 结合模电分析电流源电路(简单晶体管电流源,Howland电流源,压控电流源)

目录一、模电中学过的基本电流源电路A.简单电流源B.比例电流源电路C.镜像电流源一、模电中学过的基本电流源电路A.简单电流源B.比例电流源电路C.镜像电流源

2021-05-16 18:07:12 6087

原创 Cadence OrCAD 导出BOM/编辑原理图库时的复制与粘贴

大公司可以有专门的数据原维护CIS数据库,不会出现这种问题。小公司或个人就需要手动添加料号。1.在OrCAD里填加料号/物料描述/供应商型号在下面这个图中增加一列,点击New Property一般命名成Part Number。物料描述Description供应商型号Manufacturer Code我个人喜欢再添加一列物料属性有些物料不在BOM上体现,比如NC的电容电阻,我们可以新增一个NoBOM的属性。Tools - > Bill of MaterialsHeader这

2021-03-08 10:01:34 3187

原创 用DC-DC 升压降压以及产生负电压的原理及应用

文章目录前言一、Boost和Buck电路二、实际使用1.DC-DC芯片2.DC-DC芯片产生负电压前言在设计电源电路时经常会用到升压降压和负电压等电路,博主结合理论知识和实际应用加上自己理解,分享这篇文章。一、Boost和Buck电路推荐一个B站视频:https://www.bilibili.com/video/BV137411X7Te?from=search&seid=15078284696909984405理解Boost和Buck电路的原理楼主认为只要记住电感两端的电流不能突变,

2021-02-18 17:10:18 26603

原创 Cadence OrCAD和Allegro 更新封装

FPM生成的封装保存出现如下问题:WARNING(SPMHA1-297): Create symbol illegal element菜单里 Setup -> Design Parameter 打开Design Parameter Editor打开第二个Tab “Design”最下面的Drawing Type改成Package保存一下Allegro 更新封装FPM生成的.dra .psm .pad .ssm 文件要一起移植修改后Place->update symbols选择需

2020-10-26 15:32:26 1599

原创 温度巡检仪和热电偶/热电阻安装与使用

做长时间温度监测实验使用温度巡检仪可以实时监测温度比较方便,还可以生成温度曲线等数据。本实验使用余姚精创仪表有限公司的皓仪牌温度巡检仪。热电阻接法:如PT100,Cu50等热电阻有三线制接法,电流从L1留到L2,L3接入高阻抗,IL3=0A,测得V1,V2,V3Rt= (V1+V2-2*V3)/I热电偶接法:用热电偶专用补偿导线连接温度巡检仪,一般红线接正,蓝线接负。仪器软件使用说明1.打开软件接上485转USB,转接芯片是CH340,win10一般自带驱动。点击自动识别。2.找到

2020-06-05 14:50:32 1439

原创 【Allegro】坐标文件生成及镜像/旋转/平移坐标计算

前言1.修改Allegro的单位2.修改PCB原点坐标3.导出坐标文件4.生成正反面装配图前言在PCB设计完成之后,除了要将Gerber文件给贴片厂开钢网,还要提供坐标文件。PS:提供贴片厂的文件清单:1.Gerber中的Pastemask层,TOP/BOTTOM层,其他层不用提供。2.BOM清单,BOM上要有位号。3.坐标文件,要和BOM上的位号对应。4.装配图,正反面的装配图。坐标文件制作起来很简单,但有些细节要注意一下,否则贴片厂根本不能用。1.修改Allegro的单位一般画板子.

2020-05-15 11:54:23 3926

原创 Cadence Allegro制作PCB指南

Cadence制作PCB指南A、Allegro手动画封装B、Allegro-绘制圆角矩形铜皮A、Allegro手动画封装https://blog.csdn.net/wusuowei1010/article/details/105359901/B、Allegro-绘制圆角矩形铜皮https://blog.csdn.net/qq_41038655/article/details/1039304...

2020-04-24 10:36:44 3193 1

原创 FPM生成Allegro封装常见问题及解决方法

目录一、FPM打不开二、移植封装时移植哪些后缀名的文件三、FPM生成的封装在Allegro里无法保存一、FPM打不开首先解决FPM打不开的问题,按如下方法修改,不一定要用UE,使用任何编辑HEX文件的工具都行用Candence Allegro来画PCB很不错,但是做封装很麻烦还好有人做了一个免费的小软件FPM(Foot Print Maker),用来生成封装很方便但是作者开了个小玩笑,...

2020-04-17 15:54:41 2278

原创 赛盛 EMC 设计交流分享

Everyting should be made as simple as possible, not simpler.电磁兼容(EMC)三要素:干扰源 耦合路径 敏感设备EMC解决以上任意一个即可EMC仿真三要素:辐射 传导 ESD抗扰度解决EMC问题的关键:1.控制电流回路路径;2.辐射问题都可以等效成天线模型;关键信号,跨分割设计会增加30dB辐射使...

2020-04-12 23:59:58 613

原创 Cadence Allegro 与结构DXF交互常见操作

首先介绍的是为Allegro 导入结构提供的DXF图纸,这种DXF文件一般是结构3D图转换过来的,位置精度要求很高,如果PCB画板时有偏差会导致安装不上。 首先是File->Import->DXF; 选择导入的文件后要配置下图层;建议新建图纸,和原图层做区分;然后把DXF图层都导入到那一层去;导入成功就能显示出来;如果不能显示看下新建的图层是否打开;...

2020-03-16 14:16:13 3477 1

翻译 【翻译】ASIX AX88772C EEPROM 用户指南

ASIX AX88772C 是一款USB转以太网芯片,嵌入式设计中经常用到,设计原理图之前有篇博文分享,请戳链接:1.介绍本教程将会叫你如何给EEPROM编程,和用AX88772C Windows Production Test Tool.做基本的网络功能测试。2.AX88772C EEPROM Memory Map2-1 AX88772C EEPROM 格式下表展示了部分EEPROM的...

2020-03-06 14:15:11 5753 4

翻译 MC9S12X系列 双核MCU协处理器(Xgate) 学习笔记

首先对Xgate的专业术语解读XGATE Request(请求)来自外围模块的服务请求,由S12X_INT模块指向XGATE(见图10-1)。 每个XGATE请求都尝试激活某个优先级的XGATE通道。XGATE Channel(通道)XGATE模块中的资源(即信道ID号,优先级,服务请求矢量,中断标志),它们与特定的XGATE请求相关联。XGATE Channel ID(通道ID)...

2019-04-12 13:42:25 3029

原创 基于STM32F103C8T6+uC/OS-II的例程笔记(三)

上一篇讲了UCOS的移植和多线程程序的编写,如果是刚开始接触实时操作系统,一定对其中的多线程很好奇,到底是怎么实现的呢?首先要了解两个指针,一个是PC指针存放在R15寄存器,另一个是SP指针存放在R13寄存器。下面三点对理解程序切换很重要:1.PC是个指路器,它指向哪儿,处理器就运行哪儿的。哪个程序占有了PC,哪个程序就占有了处理器。所谓的切换就是 PC<-目标地址。系统是通过把待运...

2019-01-26 00:09:58 827

原创 基于STM32F103C8T6+uC/OS-II的例程笔记(二)

请大家先想一下,为什么想学uc/OS-II?在通过例程学习单片机的时候有没有这样的疑问,为什么例程是一个个孤立的呢,能否整合在一起?RTOS就是这样一个多线程运行的好工具,我选取UCOS来实现,这篇文章主要是以uc/OS-II来讲,想更深入可以继续学uc/OS-III,有时间片轮转的新功能。一.首先是将ucosII移植到STM32C8T6上来,原来黄老师用的编译器是IAR,这里也选用IAR的u...

2019-01-25 00:59:31 2839

原创 基于STM32F103C8T6+uC/OS-II的例程笔记(一)

大家好,在学习STM32和RTOS(uC/OS-II)的过程中遇到了很多问题,这里把本人的学习例程分享给大家,希望对学习STM32的同学有所启示。最后祝您学业有成,工作顺利。首先感谢黄琦龙老师设计的PCB,这里把原理图和layout图截图放一下,给大家了解下PCB上提供的资源。1.核心版+底板,提供的资源有STM32C8T6和晶振2.LCD+LED+Key+Beep+USART接口PCB...

2019-01-23 00:58:34 3213

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除