HDLbits 学习笔记: Problem 110 - 5-bit LFSR

本文介绍了线性反馈移位寄存器(LFSR)的基本原理,包括其在Verilog中的应用。LFSR主要用于生成伪随机数和伪噪声序列,涉及的领域包括FPGA开发、计数器、BIST和数据加密。文章详细解释了LFSR的结构,反馈系数的概念,以及如何通过选择不同的反馈函数来产生不同算法的序列。同时,讨论了LFSR的两种类型——斐波那契LFSR和伽罗瓦LFSR,它们的差异以及如何避免寄存器进入全零禁止态。
摘要由CSDN通过智能技术生成

Verilog 刷题网站 HDLbits:​​​​​​HDLBits

线性反馈移位寄存器(LFSR):

是一种特殊的的移位寄存器,他的输入取决于其先前状态。

通常由移位寄存器和异或门逻辑组成。其主要应用在:伪随机数,伪噪声序列,计数器,BIST,数据的加密和CRC校验等。

LFSR用于产生可重复的伪随机序列PRBS,该电路有n级触发器和一些异或门组成,如下图所示。

其中,gn为反馈系数,取值只能为0或1,取为0时表明不存在该反馈之路,取为1时表明存在该反馈之路;这里的反馈系数决定了产生随机数的算法的不同。用反馈函数表示成y=a0x^0+a1x+a2x^2.......反馈函数为线性的叫线性移位反馈序列,否则叫非线性反馈移位序列。

LFSR的初始值被称为伪随机序列的种子,影响下一个状态的比特位叫做抽头。LFSR的触发器编号一般从1开始,抽头取值范围是1到2n-1。抽头序列可以用来描述该LFSR的反馈多项式。由n个触发器构成的LFSR电路可以产生一个周期为2n-1的序列。理论表明,要使LFSR得到最长的周期,这个抽头序列构成的多项式加1

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值