自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(27)
  • 收藏
  • 关注

原创 相同的MOS管进行并联或者串联,它们等价的MOS管与原MOS管在宽长比上有什么联系与区别?

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档文章目录问题描述一、pandas是什么?二、使用步骤1.引入库2.读入数据总结问题描述相同的MOS管进行并联或者串联,等价的MOS管与原MOS管在宽长比有什么联系与区别? 提示:以下是本篇文章正文内容,下面案例可供参考一、pandas是什么?示例:pandas 是基于NumPy 的一种工具,该工具是为了解决数据分析任务而创建的。二、使用步骤1.引入库代码如下(示例):import numpy as npimpo.

2022-04-11 22:07:58 20596 2

原创 自然二进制码与格雷码相互转换

自然二进制码与格雷码相互转换一、格雷码简介格雷码是由弗兰克·格雷于1953年发明,其主要特点是相邻编码值中间只有一个比特发生改变,又被称为循环码。格雷码是一种无权码,采用绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它在任意两个相邻的数之间转换时,只有一个数位发生变化。它大大地减少了由一个状态到下一个状态时逻辑的混淆。二、自然二进制码转换为格雷码自然二进制码转换成二进制格雷码,其法则是保留自然二进制码的最高位作为格雷码的最高位,而次高位格雷码为二进制码的高位与次高位相异或,格雷

2020-10-29 21:52:38 4332 2

原创 数字电路设计自动化作业—2

数字电路设计自动化作业—16、半整数分频器设计思想:(1)设计一个N分频器,N=m+1;(2)设计一个扣除脉冲的电路,并把它加到计数器的输出之后,如下图。

2020-08-26 16:37:21 828

原创 数字电路设计自动化作业—1

数字电路设计自动化作业—11、七人投票表决电路Verilog代码:module vote_7( input [6:0] Vote, input Reset, output Pass); integer i; reg [2:0] vote_count; always @(*) begin if (Reset) begin vote_count = 3'd0; end else begin

2020-08-17 15:14:46 1377

翻译 HDLBits刷题合集—23 Verication: Writing Testbenches

HDLBits刷题合集—23 Verication: Writing TestbenchesHDLBits-176 Tb/clockProblem Statement为你提供了带有以下声明的模块:module dut ( input clk ) ;编写一个测试台,创建一个模块dut的实例(具有任何实例名称),并创建一个时钟信号来驱动模块的clk输入。 时钟周期为10 ps。 时钟应初始化为零,且其第一次转换为0到1。代码如下:module top_module ( );reg clk;

2020-08-07 19:33:16 1835

翻译 HDLBits刷题合集—22 Build a circuit from a simulation waveform

HDLBits刷题合集—22 Build a circuit from a simulation waveformHDLBits-166 Sim/circuit1Problem Statement这是一个组合电路。 阅读仿真波形以确定电路的功能,然后实现它。代码如下:module top_module ( input a, input b, output q ); assign q = a & b; endmoduleHDLBits-167 Si

2020-08-07 19:33:02 1696

翻译 HDLBits刷题合集—21 Finding bugs in code

HDLBits刷题合集—21 Finding bugs in codeHDLBits-160 Bugs mux2代码如下:module top_module ( input sel, input [7:0] a, input [7:0] b, output [7:0] out ); assign out = sel ? a : b;endmoduleHDLBits-161 Bugs nand3代码如下:module top_module ( i

2020-08-07 19:32:45 1028 1

翻译 HDLBits刷题合集—20 Building Larger Circuits

HDLBits刷题合集—20 Building Larger CircuitsHDLBits-153 Exams/review2015 count1kProblem Statement建立一个计数器,其计数范围为0到999(含0和999),周期为1000。 复位输入是同步的,应将计数器复位到0。代码如下:module top_module( input clk, input reset, output [9:0] q); always @(posedge clk) be

2020-08-07 19:31:59 996

翻译 HDLBits刷题合集—19 Finite State Machines-5

HDLBits刷题合集—19 Finite State Machines-5HDLBits-146 Exams/m2014 q6bProblem Statement考虑下面显示的状态机,它有一个输入w和一个输出z。假设你希望使用三个触发器和状态码y [3:1] = 000、001,…,101分别用于状态A,B,…,F来实现FSM。 显示此FSM的状态分配表。 推导触发器y[2]的次态表达式。仅实现y[2]的下一状态逻辑。状态转换表如下所示:代码如下:module top_module (

2020-08-01 21:09:29 1095 2

翻译 HDLBits刷题合集—18 Finite State Machines-4

HDLBits刷题合集—18 Finite State Machines-4HDLBits-140 Exams/ece241 2013 q8Problem Statement实现一个Mealy型有限状态机,该状态机可以识别“101”序列,其输入信号命名为x。当检测到“101”序列时,状态机的输出信号将置为逻辑1。该状态机执行低电平有效的异步复位。它可能只有3个状态,而且可以识别重叠的序列。状态转换图如下:代码如下:module top_module ( input clk, i

2020-08-01 10:49:28 677

翻译 HDLBits刷题合集—17 Finite State Machines-3

HDLBits刷题合集—17 Finite State Machines-3HDLBits-133 Fsm onehotProblem Statement给定以下具有1个输入和2个输出的状态机:假设此状态机使用独热编码,其中state[0]至state[9]分别对应于状态S0至S9。 除非另有说明,否则输出为零。实现状态机的状态转换逻辑和输出逻辑部分(但不实现状态触发器)。 你将给定state[9:0]中的当前状态,并且必须产生next_state [9:0]和两个输出。通过假设独热编码,通过检验

2020-07-26 18:57:05 1055 1

翻译 HDLBits刷题合集—16 Finite State Machines-2 Lemmings

HDLBits刷题合集—15 Finite State Machines-2 LemmingsHDLBits-129 Lemmings1Problem StatementLemmings游戏涉及具有简单大脑的生物。 如此简单以至于我们将使用有限状态机对其进行建模。在Lemmings的2D世界中,Lemmings可以处于以下两种状态之一:向左行走或向右行走。 如果碰到障碍物,它将切换方向。 特别是,如果Lemmings在左侧发生碰撞,它将向右行走。 如果它撞到右边,它将向左走。 如果同时在两侧碰撞,它

2020-06-26 21:02:13 773 2

翻译 HDLBits刷题合集—14 More Circuits

HDLBits刷题合集—14 More CircuitsHDLBits-115 Rule90Problem StatementRule90是一个具有有趣性质的一维元胞自动机。规则很简单。有一个一维的元胞阵列(开或关)。在每个时间步中,每个单元的下一个状态是单元的两个当前相邻单元的异或。更详细的表达这个规则的方法是下表,其中一个单元格的下一个状态是它自己和它的两个邻居的函数:LeftCenterRightCenter’s next state11101101

2020-06-25 14:57:48 1279

翻译 HDLBits刷题合集—15 Finite State Machines-1

HDLBits刷题合集—15 Finite State Machines-1HDLBits-120 Fsm1Problem Statement这是一个Moore型状态机,有两个状态,一个输入,一个输出。实现此状态机。注意重置状态是B。这个练习与下一题fsm1s相同,但是使用异步重置。代码如下:module top_module ( input clk, input in, input areset, output out); parameter A=0, B=1; reg

2020-06-18 21:30:15 1432

翻译 HDLBits刷题合集—13 Shift Registers

HDLBits刷题合集—13 Shift RegistersHDLBits-106 Shift4Problem Statement构建一个4位移位寄存器(右移位),具有异步置位、同步置数和使能。areset:将移位寄存器置零。load:用data[3:0]载入移位寄存器而不是移位。ena:向右移位(q[3]变为零,q[0]被移出去,消失)。q:移位寄存器的输出。如果load和ena同时输入为1,则load具有更高的优先级。代码如下:module top_module( input

2020-06-07 14:48:55 2169

翻译 HDLBits刷题合集—12 Counters

HDLBits刷题合集—12 CountersHDLBits-99 Count15Problem Statement构建一个4位二进制计数器,其计数范围从0到15(包括0和15),周期为16。置位输入是同步的,应该将计数器重置为0。代码如下:module top_module ( input clk, input reset, // Synchronous active-high reset output [3:0] q); always @(posed

2020-06-06 16:32:20 1767

翻译 HDLBits刷题合集—11 Latches and Flip-Flops

HDLBits刷题合集—8 Latches and Flip-FlopsHDLBits-81 DffProblem StatementD触发器是存储一位数据并定期更新的电路,通常变化位于时钟信号的上升沿。D触发器是由逻辑合成器在使用时钟always时产生的(参见alwaysblock2)。D触发器是“组合逻辑的后面跟着一个触发器”的最简单形式,其中组合逻辑部分只是一根导线。创建一个D触发器。代码如下:module top_module ( input clk, // Clock

2020-06-01 19:12:30 1726 2

翻译 HDLBits刷题合集—10 Karnaugh Map to Circuit

HDLBits刷题合集—10 Karnaugh Map to CircuitHDLBits-73 Kmap1Problem Statement实现下面卡诺图所描述的电路。代码如下:module top_module( input a, input b, input c, output out ); //不要习惯性写成“+”,要用或 assign out = a | b | c; endmoduleHDLBits-74 Kma

2020-05-31 18:01:11 2528

翻译 HDLBits刷题合集—9 Arithmetic Circuits

HDLBits刷题合集—9 Arithmetic CircuitsHDLBits-66 HaddProblem Statement创建一个半加器。半加器将两位输入(不带低位的进位)相加并产生和和向高位的进位。代码如下:module top_module( input a, b, output cout, sum ); //assign sum = a + b; //assign cout = a & b; assign {cout,sum} = a +

2020-05-30 08:36:17 828

翻译 HDLBits刷题合集—8 Multiplexers

HDLBits刷题合集—8 MultiplexersHDLBits-61 Mux2to1Problem Statement创建一个1位宽的2选1数据选择器。当sel=0时,选择a;当sel=1时,选择b。代码如下:module top_module( input a, b, sel, output out ); assign out = sel ? b : a; //assign out = (sel & b) | (~sel & a);endm

2020-05-28 09:11:39 1398

翻译 HDLBits刷题合集—7 Basic Gates

HDLBits刷题合集—7 Basic GatesHDLBits-44 Exams/m2014 q4hProblem Statement实现以下电路:代码如下:module top_module ( input in, output out); assign out = in; endmoduleHDLBits-45 Exams/m2014 q4iProblem Statement实现以下电路:代码如下:module top_module (

2020-05-27 10:51:43 2024

翻译 HDLBits刷题合集—5 Procedures

HDLBits刷题合集—5 ProceduresHDLBits-26 Alwaysblock1Problem Statement由于数字电路是由与线相连的逻辑门组成的,所以任何电路都可以表示为模块和赋值语句的组合。然而,有时这并不是描述电路最方便的方式。过程(以always块为例)提供了描述电路的另一种语法。有两种类型的always块是与可综合硬件相关的:组合逻辑: always @(*)时序逻辑: always @(posedge clk)always块等价于赋值语句,因此总是有两种方式来表

2020-05-25 21:36:48 1193

翻译 HDLBits刷题合集—6 More Verilog Features

HDLBits刷题合集—6 More Verilog FeaturesHDLBits-37 Conditional ternary operatorProblem StatementVerilog也有像C语言一样的三元条件运算符:(condition ? if_true : if_false)这可以在一行中根据条件从两个值中选择一个,而不需要在组合的always块中使用if-then语句。几个例子:(0 ? 3 : 5) // This is 5 because the conditi

2020-05-24 11:41:49 1368

翻译 HDLBits刷题合集—4 Modules: Hierarchy

HDLBits刷题合集—4 Modules:HierarchyHDLBits-17 ModuleProblem Statement下图显示了一个带有子模块的非常简单的电路。在本练习中,创建模块mod_a的一个实例,然后将模块的三个引脚(in1、in2和out)连接到顶层模块的三个端口(连接a、b和out)。mod_a模块已经为你提供,你使用时必须实例化它。在连接模块时,只有模块上的端口是重要的。不需要知道模块内部的代码。模块mod_a的代码如下:module mod_a ( input in1,

2020-05-23 16:39:28 1870

翻译 HDLBits刷题合集—3 Vectors

HDLBits刷题合集—3 VectorsHDLBits-11 Vector0Problem Statement建立一个电路,有一个3位输入,然后输出相同的向量,并把它分成三个独立的1位输出。将输出o0连接到输入向量的位置0,o1连接到位置1,o2连接到位置2。在下图中,带有数字的标记表示向量(或“总线”)的宽度,而不是向量中的每个位绘制单独的线。代码如下:module top_module ( input wire [2:0] vec, output wire [2:0]

2020-05-21 20:09:03 1464

翻译 HDLBits刷题合集—2 Basics

HDLBits刷题合集—2 BasicsHDLBits-2 ZeroProblem Statement建立一个没有输入只有输出常数0的电路。代码如下:module top_module ( output zero ); assign zero = 1'b0; endmoduleHDLBits-3 WireProblem Statement创建一个具有一个输入和一个输出的模块,其行为类似于线。![在这里插入图片描述](https://img-blog.csdnimg.cn/

2020-05-20 19:42:54 1425

翻译 HDLBits刷题合集—1 入门篇

HDLBits刷题HDLBits-1 Step one欢迎来到HDLBits !刚开始接触数字逻辑设计时,你可能会感到不知所措,因为你需要同时学习新的概念、新的硬件描述语言(例如Verilog)、几个新的软件包,通常还有一个FPGA板。HDLBits提供了一种方法来练习设计和调试简单的电路,只需单击“Simulate”即可。设计一个电路需要几个步骤:编写HDL (Verilog)代码,编译代码生成电路,然后模拟电路并修复bug。Writing Code编写代码的最简单方法是在下面的“代码编辑器”

2020-05-17 12:02:27 4120

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除