FPGA记录一:Mearly型与Moore型状态机(序列检测案例分析)

一.理论

Mearly型:输出由当前状态输入共同决定
Moore型:输出只与当前状态有关

ps:状态跳转均与输入和当前状态有关

二.代码区别

2.1Mearly型输出:

always@(posedge sclk or negedge rst_n)
		if(!rst_n)
			c_out <= 1'b0;
		else if(state == S4 && c_in == 1'b0)
			c_out <= 1'b1;
		else
			c_out <= 1'b0;

Modelsim仿真结果:检测到10010序列立刻输出检测信号c_out
在这里插入图片描述
2.2Moore型输出:

always@(posedge sclk or negedge rst_n)
		if(!rst_n)
			c_out <= 1'b0;
		else if(state == S5)
			c_out <= 1'b1;
		else
			c_out <= 1'b0;

Modelsim仿真结果:检测到10010序列输出检测信号c_out比Mealy型晚一拍

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值