uvm基础(1)factory机制

工厂的意义

  • uvm工厂的存在是为了更方便地替换验证环境中的实例或已注册的类型。
  • 用来替换的对象或类型应该满足注册和多态的要求。

工厂提供的便利

  • factory的步骤:1.将类注册到工厂。通过uvm_component_utils,uvm_object_utils。
    2.例化前设置覆盖对象和类型。
    3.对象创建。

  • 工厂创建为什么要将uvm_component和uvm_object区分开呢?
    因为uvm_component创建出来的组件最终会表示在uvm层次中,而uvm_object不会。这也可以从他们各自的new函数观察出来。

  • factory机制只会影响通过factory注册并且创建的对象。可以通过方法set_type_override()来进行覆盖。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值