Systemverilog中的并发

本文介绍了Systemverilog中的并发执行语句,包括fork...join、fork...join_any和fork...join_none。fork...join_none不阻塞其他语句,实现完全并发;fork...join和fork...join_any则会阻塞,其中join_any在任一线程执行完后继续执行。在使用automatic变量时,fork...join_none无需额外声明。举例说明了fork...join_any在建模发送信息场景中的应用,并通过代码对比展示了不同并发语句的区别。
摘要由CSDN通过智能技术生成
  

  在systemveriog中,存在三种并发执行语句,分别是fork..join,fork...join_any和fork..join_none,其中只有fork...join_none不会发生阻塞,也就是说,fork...join_none块中的语句如果和其它语句在同一个begin...end块中,不会因为代码出现的顺序而影响执行的时间,fork...join语句会并发执行其中的语句,并且阻塞其它语句,只有fork...join块执行完成之后才能执行其它语句,fork...join_any块也会阻塞其它语句,但是块中的一条语句执行完成之后就会执行其它语句。

   fork...join_none语句的完全并发的特性会带来一些其它问题。

for(i=0; i < 3; i++)
    fork 
       #5 $display("@0%dtime creat %0d process",$time,i);
    join_none



 上面的语句执行的结果是 输出三句 @5 creat 3 process。并不会出现每创建一个线程就会打印一个i,这个小bug是由于ork..join_none语句的非阻塞特性,每一个线程创建的时候不会立即执行,而是动态的对线程进行创建,等到仿真时间的最后时刻再执行这些动态创建的线程,但是由于变量i是所有线程共享的一个全局变量,因此创建线程的循环过程中会对i的值产生影响,因此产生了错误的结果。解决的办法也很简单,只要创建线程的同时也创建一个自动保存的变量用来保存线程的序号,就可以实现并行创建线程,同时每个线程有自己独立的自动变量了。


  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值