首先我的VCS版本是vcs_mx_201509SP21
①在你的tb文件中,加入
initial begin
$fsdbDumpfile("tb.fsdb");//这个是产生名为tb.fsdb的文件
$fsdbDumpvars;
end
②在linux命令行输入
vcs -full64 -fsdb -f ***.f//其中 -full64是指linux64 启动vcs, ***.f是你放tb文件和.v文件的脚本。
很多人用 -debug_pp 代替-fsdb,现在一般都用-fsdb了。