怎么利用VCS产生fsdb文件

fsdb即Fast Signal DataBase,是Spring Soft公司(原Novas)的Verdi原Debussy)所支持的波形文件,相对比VCD波形文件,fsdb只对仿真过程中有用的信号进行存储,除去了VCD中的冗余信息,自然所占存储空间较小。

VCD是IEEE1364所定义的标准波形存储文件,所以可以使用verilog的系统函数dumpVCD来dump VCD的波形文件,但是fsdb并不是通用的,只能通过Verdi提供的PLI接口来实现。具体来说,如使用VCS进行编译时,需要指定-P参数行。

首次编译不成功,提示需要指定NOVAS_HOME,在.bashrc中指定即可

在testbench中加入相关函数即可。


  • 5
    点赞
  • 55
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值