VHDL 学习笔记2 操作符

赋值操作符

:=用于对常量(CONSTANT)和变量(VARIABLE)的赋值,<=用于对信号(SIGNAL)的赋值

并置操作符 &

'0' & '1' & '1' 结果为 '011'

在std_logic_unsigned 包中预定义了 操作符 

+  - * / =  加减乘除等

>=  大于等于

<=  小于等于

> 大于

<  小于

/= 不等

and 且

等操作符。

对于integer   std_logic   std_logic_vector 的操作均有重载,允许不同数据类型的数据进行运算。

 

摘自其他文章

  • 混合运算符 (miscellaneous operators):
    • 乘方 **
    • 取绝对值 abs
    • 非 not
  • 求积运算符(multiplying operators):
    • 乘 *
    • 除 /
    • 取模 mod
    • 取余 rem
  • 符号运算符(sign operators):
    • 正 +
    • 负 -
  • 加法运算符(adding operators):
    • 加 +
    • 减 -
    • 并置/连接 &
  • 移位运算符(shift operators):
    • 逻辑左移 sll
    • 逻辑右移 srl
    • 算数左移 sla
    • 算数右移 sra
    • 逻辑循环左移 rol
    • 逻辑循环右移 ror
  • 关系运算符(relational operators):
    • 等于 =
    • 不等于 \=
    • 小于 <
    • 小于等于 <=
    • 大于 >
    • 大于等于 >=
  • 4
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值