quartus Ⅱ 12.1 使用教程(3) 点亮LED灯

开发板使用的是EP4CE15F23C8,软件使用的是quartus  12.1 ,工程实现的功能是点亮四个LED灯

打开quartus软件

step1   点击File-->New Project  Wizard

点击Next

填写工程名led_test,点击Next

点击Next

选择开发板型号,点击Next

点击Next

点击Finish

新建完成后,如下图所示

step2   新建verilog工程文件

点击File -->New

选择Verilog HDL File,点击OK

led_test程序,写得比较简单基本都可以看懂

module led_test(
  i_clk,
  i_rst_n,
  led_1,
  led_2,
  led_3,
  led_4

);



//clk  50M  
// 周期为1s,正负周期各0.5s,输入时钟频率50M周期为20ns,计数25000000-1翻转一次
localparam	count_delay  =  25000000-1;

input	i_clk;
input	i_rst_n;
output	led_1;
output	led_2;
output	led_3;
output	led_4;

reg	[24:0]led_cnt;
reg	led_out;

// 计数0.5s
always@(posedge i_clk or negedge i_rst_n)begin
		if(i_rst_n == 1'b0)
			led_cnt	<=		25'd0;
	   else if(led_cnt == count_delay)
			led_cnt	<=		25'd0;
		else
			led_cnt	<=		led_cnt	+ 1'b1;
end			


// 每0.5s led_out翻转一次		
always@(posedge i_clk or negedge i_rst_n)begin
		if(i_rst_n == 1'b0)
			led_out	<=		1'b0;
	   else if(led_cnt == count_delay)
			led_out	<=		~led_out;
		else
			led_out	<=		led_out;
end

// 将led_out的电平给四个led

assign	led_1	=	led_out;
assign	led_2	=	led_out;
assign	led_3	=	led_out;
assign	led_4	=	led_out;

endmodule

点击Comple Design进行编译

点击Pin Planner分配管脚

分配管脚

再次点击Comple Design进行编译,生成sof文件

step3  下载sof文件到开发板

Tools-->Programmer 

找到sof文件存储路径,点击open

选择下载器,点击Close

点击Start

下载到开发板led会不停的闪烁

这里仅仅只是做为笔记记录,以免后面不用的时候忘记了

  • 10
    点赞
  • 71
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值