VCS编译systemverilog和UVM的命令记录

正常应该看文档就可以了,但是在常看的 ug 和 VCSLCAFeatures真的找不到。估计是在其他的 文档里吧,所以就先记录在这。

1.-CFLAGS 和 -DVCS有什么用?

>> How to use the gcc compiler's option "-DVCS"?

-DVCS represents a macro defined for C source code. Please note that anything
followed by -D is treated as a macro by the gcc/g++ compiler. Along with
VCS compile-time options one should pass -DVCS with -CFLAGS so that
-DVCS gets passed to gcc/g++.

Example steps:
% vcs top.v test.c -CFLAGS -DVCS <rest_of_compile_options>

>> What's the compile environment that we need to use this option?

"-CFLAGS -DVCS" is usually required during UVM source compilation.
Therefore, if we are using an external UVM source then you must pass -DVCS. 
(If we are using -ntb_opts uvm then no need to pass this option because it is
internally passed with -ntb_opts uvm). Also, if we have any macros with
the name 'VCS
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值