FPGA工程师必备技能_Vivado如何清理工程并保证不缺失必要文件

Vivado的reset_project命令用于清理工程,移除不必要的文件,如编译后的IP和中间文件,以减小工程体积。此操作可节省存储空间,但重新编译时可能需要更多时间。通过示例,文章展示了该命令如何显著减少工程大小,是优化存储的有效方法。
摘要由CSDN通过智能技术生成

Vivado如何清理工程并保证不缺失必要文件

清理/压缩工程

实际使用vivado的过程中,由于vivado会自动产生一系列文件,有些是不必要时刻保存的中间文件,有些是加快效率的文件(比如编译IP核后产生的文件)。但是在上传svn或者自己做备份的时候希望备份占用尽量少的空间。然而由于vivado不会自动清理,所以这时候就需要我们做手动清理了,很多人采用的方法是根据经验删除没用的文件和文件夹,这种对于不熟悉的人很容易犯下不可挽回的错误。也有人写好了批处理文件可以直接帮助删除的,但是这都不太适合初学者。其实vivado是有tcl命令可以帮助清理的。

reset_project

reset_project是vivado一个tcl命令,输入后会发现所有的IP全部清掉了编译后的文件,工程的综合和实现也被清理掉了,只保留最必要的文件。所以会减少空间。但是这样操作以后在重新编译,需要时间会更长,根据需要综合考虑此方法,尤其是工程比较大的时候。

具体操作

在vivado软件Tcl Console输入reset_project命令。

操作后效果

应用参考1:
参考文章:
https://blog.51cto.com/u_15856507/5814050
某工程运行完成之后占用的资源,占用了176M。 对工程运行reset_project命令后如下图所示,资源占用105M,直接减少72M,也就是减少了40%!这个压缩量还是比较可观的。

应用参考2:
参考文章:
https://blog.csdn.net/wkonghua/article/details/125844448
清理之前的工程大小700多兆。清理后工程大小缩小到160M左右。 对比一下,清理前后工程大小缩小了将近80%。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

碰珺

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值