在VSCode中配置Verilog语言环境并使用学习WaveTrace插件


VS Code和Verilog

VS Code是时下一款较为流行的代码编辑器,Verilog是一门硬件描述语言,如今已经被中山大学作为计算机学院计算机专业学生的一门必修课。

提示:以下是本篇文章正文内容。

一、本教程的适用范围?

示例:本教程适用于Windows操作系统,通过Windows10操作系统演示。


二、使用步骤

1.下载VS Code

登录VS Code官网下载其正版安装包,整理并设置VS Code的桌面快捷键

2.在VS Code下载相关插件

<1>Verilog-HDL

很好地

<2>Wavetrace

在这里插入图片描述

3.下载Verilog的编译工具

----Iverilog编译器

此处推荐初学者使用轻量级的iverilog编辑器,

4.配置编辑器的环境变量

两步图片如下:需要配置两个环境变量,至此,编译器已经可以正常使用。
如果报错,请尝试重新启动电脑以使得刚添加的环境变量被系统有效识别。
在这里插入图片描述

5.确认iverilog可以正常使用(可忽略)

6.设置Verilog-HDL插件

在这里插入图片描述
在这里插入图片描述

7.尝试开始第一个verilog代码片段

代码如下(示例):

module My_Journey_Begins; 
  initial begin
    $display(" Hello World!" ) ;
  end
endmodule

尝试在终端输入对应的命令,输出结果如下:
成功后会有Hello World!显示。
在这里插入图片描述

8.尝试开始第一个verilog仿真代码片段

-----------------------------此处以一个全加器为例----------------------------
向大家展示插件的威力:
请尝试保存如下代码至桌面:

module adder(X, Y, Cin, Cout, S);

	input [0:1] X;
	input [0:1] Y;
	input Cin;
	output reg [0:1]S;
	output reg Cout;

	always @(X , Y , Cin) begin
   		 {Cout , S} = X + Y + Cin;
	end
endmodule

module testBench();
    reg [0:1] A , B;
    reg Cin;
    output [0:1]S;
    output Cout;
    
    adder U(
    .X(A),
    .Y(B),
    .Cin(Cin),
    .Cout(Cout),
    .S(S)
    );
    
    initial begin
        A = 0;
        B = 2;
        Cin = 1;
        #10 A = 1;
        #10 Cin = 1;
        #10 B = 0;
        #20 A = 3;
        #30 Cin = 0;
        #40 B = 3;
        #50 Cin = 1;
    end
    
    initial begin
        $monitor($time,,,"part:%b  %b", S, Cout);
        #70
        $finish;
    end
/*iverilog */
    initial
    begin            
        $dumpfile("wave.vcd");        //生成的vcd文件名称
        $dumpvars(0, testBench);    //tb模块名称
    end
/*iverilog */

endmodule

9.尝试测试第一个verilog代码片段

请输入如下命令至Code的终端:
测试你是否有如下输出
在这里插入图片描述

10.尝试使用WaveTrace插件

现在你的桌面或许已经生成了一个wave.vcd的文件
将他直接用鼠标拖入你的欢迎页面试试
在这里插入图片描述

11.尝试测试第一个verilog仿真波纹图像

在这里插入图片描述

Step 1:

点击Add Signs ,选择一路或多路信号,并双击它在这里插入图片描述

Step 2:

更改颜色,变量和其他设置,享用WaveTrace。

总结

提示:这里对文章进行总结:
例如:以上就是今天要讲的内容,本文仅仅简单介绍了使用,而没有提供了大量能使我们快速便捷地处理数据的函数和方法。

  • 14
    点赞
  • 81
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
配置VSCodeVerilog环境,你可以按照以下步骤进行操作: 1. 安装VSCode:首先,确保你已经安装了Visual Studio Code编辑器。你可以从VSCode的官方网站(https://code.visualstudio.com/)下载并安装最新版本。 2. 安装Verilog插件:在VSCode,点击左侧的扩展图标(或按下Ctrl+Shift+X),在搜索栏输入"Verilog",然后选择安装"Verilog-HDL/SystemVerilog"插件。这个插件提供了Verilog语法高亮、代码补全和其他有用的功能。 3. 配置Verilog编译器:为了编译和运行Verilog代码,你需要在系统安装一个Verilog编译器。常用的选择包括Icarus Verilog、ModelSim等。你可以根据你的需求选择一个适合的编译器,并确保其在系统的环境变量可用。 4. 配置VSCode任务:打开你的Verilog项目文件夹,在VSCode按下Ctrl+Shift+P,然后输入"Tasks: Configure Task"并选择它。在弹出的列表选择"Create tasks.json file from template",然后选择"Others"。这将创建一个tasks.json文件。 5. 配置编译任务:在tasks.json文件,将以下代码粘贴到tasks数组: ```json { "label": "Compile Verilog", "type": "shell", "command": "iverilog", "args": [ "-o", "${fileBasenameNoExtension}.out", "${file}" ], "group": { "kind": "build", "isDefault": true } } ``` 这个配置使用Icarus Verilog编译器来编译Verilog代码。如果你使用的是其他编译器,请将command的值更改为对应的编译器命令。 6. 运行编译任务:在VSCode按下Ctrl+Shift+B,选择"Compile Verilog"任务来编译你的代码。这将在代码文件所在目录生成一个可执行文件。 现在,你已经成功配置VSCodeVerilog环境。你可以使用代码编辑器的其他功能来进一步开发和调试你的Verilog项目。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值