hdlbits.01xz.net /Circuits/Sequential Logic/Finite State Machines/Sequence recognition

module top_module(
    input clk,
    input reset,    // Synchronous reset
    input in,
    output disc,
    output flag,
    output err);
    
    parameter NONE =     10'b0000000001;
    parameter ONE  =     10'b0000000010;
    parameter TWO =     10'b0000000100;
    parameter THREE =     10'b0000001000;
    parameter FOUR =     10'b0000010000;
    parameter FIVE =     10'b0000100000;
    parameter SIX =     10'b0001000000;
    parameter ERROR =     10'b0010000000;
    parameter DISCARD = 10'b0100000000;
    parameter FLAG =     10'b1000000000;
    
    reg [9:0] state, next;
    
    //ff
    always @(posedge clk) begin
        if(reset)
            state = NONE;
        else 
            state = next;
    end
    
    //trans
    always @(*) begin
        case (state)
            NONE: begin
                if(in) 
                    next = ONE;
                else
                    next = NONE;
            end
            ONE: begin
                if(in) 
                    next = TWO;
                else
                    next = NONE;
            end
            TWO: begin
                if(in) 
                    next = THREE;
                else
                    next = NONE;
            end
            THREE: begin
                if(in) 
                    next = FOUR;
                else
                    next = NONE;
            end
            FOUR: begin
                if(in) 
                    next = FIVE;
                else
                    next = NONE;
            end
            FIVE: begin
                if(in) 
                    next = SIX;
                else
                    next = DISCARD;
            end
            SIX: begin
                if(in) 
                    next = ERROR;
                else
                    next = FLAG;
            end
            ERROR: begin
                if(in) 
                    next = ERROR;
                else
                    next = NONE;
            end
            DISCARD: begin
                if(in) 
                    next = ONE;
                else
                    next = NONE;
            end
            FLAG: begin
                if(in) 
                    next = ONE;
                else
                    next = NONE;
            end
            default:
                next = NONE;
        endcase
    end
    
    //out
    assign disc = (state == DISCARD)? 1'b1: 1'b0;
    assign flag = (state == FLAG   )? 1'b1: 1'b0;
    assign err  = (state == ERROR  )? 1'b1: 1'b0;

endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值