verilog任意整数分频的实现

波形

这里写图片描述

代码

`timescale 1ns/10ps
module divn_tb;
reg clk;
reg rst_n;
reg [31:0] div;
wire o_clk;

divn u0 (
.clk(clk),
.rst_n(rst_n),
.div(div),
.o_clk(o_clk)
);


initial begin
clk   = 1'b1;
div   = 32'h5;
rst_n = 1'b0;

   #50 rst_n = 1'b1;
#1000;
end


always #10 clk = ~clk;
endmodule







module divn    (
input clk,
input rst_n,
input [31:0] div,
output o_clk
);

parameter WIDTH = 32;

reg [WIDTH-1:0] cnt_p;
reg [WIDTH-1:0] cnt_n;
reg             clk_p;
reg             clk_n;

assign o_clk = (div == 1) ? clk :
               (div[0])   ? (clk_p | clk_n) : (clk_p);

always@(posedge clk or negedge rst_n) begin
if (!rst_n)
    cnt_p <= 0;
else if (cnt_p == (div-1))
    cnt_p <= 0;
else
    cnt_p <= cnt_p + 1;
end

always@(posedge clk or negedge rst_n) begin
if (!rst_n) 
    clk_p <= 1;
else if (cnt_p < (div>>1))
    clk_p <= 1;
else
    clk_p <= 0;    
end

always@(negedge clk or negedge rst_n) begin
if (!rst_n)
    cnt_n <= 0;
else if (cnt_n == (div-1))
    cnt_n <= 0;
else
    cnt_n <= cnt_n + 1;
end

always@(negedge clk or negedge rst_n) begin
if (!rst_n)
    clk_n <= 1;
else if (cnt_n < (div>>1))
    clk_n <= 1;
else
    clk_n <= 0;
end

endmodule
  • 1
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值