(笔记)Quartus II 中用在Verilog文件调用VHDL模块传入参数问题

本文记录在使用Quartus II编译Verilog文件时遇到的VHDL模块调用问题,具体表现为报错:VHDL type mismatch错误,原因是Quartus II中boolean类型与Synplify和ISE中表示方式不一致。解决办法是将调用参数转换为Quartus II兼容的格式,即用false和true替换1和0。作者提醒希望未来编译工具能统一参数格式。
摘要由CSDN通过智能技术生成

今天在编译一个Verilog文件,其中嵌入了VHDL的模块,其VHDL模块如下:

entity vhdl_module is
    generic (
        PARA1    : boolean := false;    -- boolean型
        PARA2    : boolean := false;    -- integral型
    );
    port (
        PORT_A: out std_logic;
        PORT_B: in std_logic
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值