IC验证覆盖率

coverage一般在所有的test case开发完毕或即将开发完毕的时候才会统计,如果使用的仿真器是VCS,那么需要在仿真命令行加上-cm line+tgl+cond+fsm+branch 选项,注意,如果原先的仿真命令行的编译和运行仿真是分开的话,那么在编译和仿真的命令行都要加上这个覆盖率的dump选项。

然后,运行每一支test case的仿真后都会生成一个simv.vdb的覆盖率文件夹,所以run regression的时候,要把这些覆盖率文件夹统一放在一个路径下,等所有的test case 仿真结束后将他们merge在一起 生成一个覆盖率文件夹。merge指令为,urg -dir *.vdb -report both这样就会生成一个merge后的覆盖率文件夹.

https://zhuanlan.zhihu.com/p/240126362

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值