自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(29)
  • 资源 (13)
  • 收藏
  • 关注

原创 驱动程序动态加载与测试

Linux下驱动程序动态加载与测试

2022-01-13 09:39:37 748

原创 基于VeloView测试自己的激光雷达样机

VeloView软件是Velodyne激光雷达厂商与Paraview合作设计的用于Velodyne产品的开源产品。如果想要为自己的激光雷达产品应用VeloView来进行一些前期的开发工作,那么成熟的VeloView开源软件是一个不错的选择。

2022-01-11 09:30:00 786 3

原创 Linux内核的移植

Linux内核的移植

2022-01-09 20:44:55 889

原创 Velodyne 64线激光雷达协议

Velodyne 64线激光雷达数据传输协议,更好的了解Velodyne激光雷达数据结构,为开发使用于Veloview软件的激光雷达做铺垫

2022-01-07 09:30:00 3754

原创 交叉编译工具链的制作

基于Ubuntu的交叉编译工具链制作

2022-01-05 09:30:00 789 1

原创 VeloView工程配置与编译

作者:ShownSun公众号:时沿科技文章目录VeloView工程配置与编译前言介绍编译依赖的库函数依赖的Windows软件编译指令VeloView工程配置与编译前言  Kitware是一个软件研发,咨询和开发公司,专注于软件过程,医疗计算,高性能的可视化和计算,数据和分析,以及计算机视觉。做科学可视化的朋友都知道,其是著名的开源软件包VTK、ITK以及CMake等软件的开发商,是非常值得敬重的一个IT企业。  Velodyne成立于1983年,是一家位于加州硅谷的技术公司。Velodyn..

2021-08-10 22:17:55 1283 7

原创 FPGA烧写SPI FLASH

作者:ShownSun工作室:时沿科技文章目录FPGA烧写SPI FLASH方法一方法二SPI flash的约束BPI FLASH的约束FPGA烧写SPI FLASH方法一1.点击setting,选择bitstream部分,将bin_file打钩,点击OK2.点击generate bitstream,生成bit文件与bin文件3.点击open hardware manager,连接板子4.选中xc7k325t,右击选择add configuration memory device5...

2021-05-09 16:37:50 3196 2

原创 常用寻峰算法比较

常用寻峰算法比较

2021-04-25 10:49:53 7776 1

原创 峰锐化算法笔记

作者:ShownSun工作室:时沿科技文章目录峰锐化算法笔记一阶导数对称次幂定律联合锐化方法去卷积Matlab和八度的分辨率增强使相称m函数分段导数峰锐化双指数对称峰锐化算法笔记  采用了峰值锐化算法,人为地提高了峰值的视在分辨率。在结果的信号中组成带宽被缩小,以便测量强度和位置,代价是降低信噪比。  其中最简单的一种算法是基于原始信号的加权和及其二阶导数的负值:Rj = Yj - k2Y''  其中Rj为增强的分辨率信号,Y为原始信号,Y”为Y的二阶导数,k2为用户选择的二阶导数权重因..

2021-04-25 10:04:44 1317 2

原创 滑窗算法笔记

作者:ShownSun工作室:时沿科技文章目录滑窗算法笔记平滑算法降噪噪声的频率分布结尾效果和丢失点问题平滑问题优化平滑使用平滑的原因什么时候不应该平滑信号处理峰值和异常值替代平滑的方法压缩过量采集的信号滑窗算法笔记平滑算法  m:平滑宽度(通常为奇数);  n:信号中点的总数;降噪  D:原始信号中噪声的标准差,第一次滑窗后噪声的标准差D/sqrt(m),阶跃响应时间等于平滑宽度除以采样率,三次滑窗后噪声的标准差Dx0.7/sqrt(m);第一次三角平滑后噪声的标准差Dx0.8/sq..

2021-04-25 09:37:55 2248

原创 FPGA实现ADC3444数据接口逻辑

作者:ShownSun工作室:时沿科技文章目录FPGA实现ADC3444数据接口逻辑1 引言2 ADC34442.1 芯片简介2.2 参数配置2.3 引脚2.4 接口时序3 参考代码3.1 SelectIO配置3.2 程序代码FPGA实现ADC3444数据接口逻辑1 引言  本文通过以高速ADC3444芯片为例进行数据接口逻辑代码的编写,利用SelectIO IP快速高效完成芯片驱动的生成。关于SelectIO IP的使用,可以参考Xilinx SelectIO IP使用说明(一)。2 A..

2021-04-23 20:55:15 1904 10

原创 AD9361多片RF同步设计

作者:ShownSun工作室:时沿科技文章目录AD9361多片RF同步设计功能描述接口说明详细实现AD9361多片RF同步设计功能描述  AD9361参考指南中详细介绍了基带同步的软硬件设计,但是AD9361没有相关函数来进行RF 同步,同步RF本振的能力在该设备中是不支持的。  有三种方法可以解决这个问题,一是测量多片内部LO的相位差,然后通过FPGA进行校准;一是使用外部LO信号,一是通过DSP计算多片之间的LO相位差。如果直接利用DSP计算片间LO相位差,消耗大量的资源,不满足时间要..

2021-04-14 21:34:06 3680

原创 ZYNQ PS端模块读书笔记-XADC

作者:ShownSun工作室:时沿科技文章目录ZYNQ PS端模块读书笔记-XADC1 介绍1.1 特色1.2 系统视角1.3 PS-XADC接口框图1.4 编程指南2 功能描述2.1 接口仲裁(PL-JTAG与PS-XADC)2.2 串行通信通道(PL-JTAG与PS-XADC)2.3 模拟数字转换器2.4 传感器警告(PS-XADC和DRP)3 PS-XADC接口描述3.1 串行通道时钟频率3.2 命令和数据包3.3 命令公式3.4 DRP地址和DRP数据3.5 读数据公式3.6 最小最大阈值..

2021-04-13 21:33:22 1117

原创 ZYNQ PS端模块读书笔记-GPIO

作者:ShownSun工作室:时沿科技文章目录ZYNQ PS端模块读书笔记-GPIO1 介绍1.1 特色1.2 框图1.3 通知2 功能描述2.1 GPIO控制设备引脚2.2 EMIO信号2.3 Bank0,Bit[8:7]是输出2.4 中断函数3 编程指南3.1 启动顺序3.2 GPIO引脚配置3.3 写数据到GPIO输出引脚3.4 从GPIO输入引脚读取数据3.5 GPIO作为唤醒事件3.6 寄存器概述4 系统功能4.1 时钟4.2 复位4.3 中断5 IO接口ZYNQ PS端模块读书笔记-..

2021-04-13 20:32:34 415 1

原创 ZYNQ PS端模块读书笔记-中断

作者:ShownSun工作室:时沿科技文章目录ZYNQ PS端模块读书笔记-中断0 引言1 环境1.1 私有、共享和软件中断1.2 通用中断控制器1.3 复位和时钟1.4 模块框图1.5 CPU中断信号传递2 功能描述2.1 软件产生中断2.2 CPU私有外部中断2.3 共享外部中断2.4 中断敏感、触发和处理2.5 等待中断事件信号3 寄存器概述3.1 写协议锁定4 编程模板4.1 中断优先级4.2 中断处理4.3 ARM编程主题4.4 遗留中断和安全扩展ZYNQ PS端模块读书笔记-中断0..

2021-04-12 21:44:43 444 1

原创 AD9361官方FPGA工程编译

作者:ShownSun工作室:时沿科技文章目录AD9361官方FPGA工程编译1 引言2 工程建立2.1 自我环境检查2.2 克隆对应代码2.3 安装编译工具2.4 编译tcl工程2.5 详细的参考文章3 no-OS库的编译AD9361官方FPGA工程编译1 引言  ADI是业界卓越的半导体公司,在模拟信号、混合信号和数字信号处理的设计与制造领域都发挥着十分重要的作用。到目前为止,推出了多款芯片,涉及的领域十分广阔。针对推出的芯片,都有配套的开发板与其芯片子模块进行配套使用,所以配套资源包含..

2021-04-11 21:57:25 3692

原创 AD9361相关文档资源链接推荐

AD9361相关文档资源链接推荐EngineerZoneAD936x系列帖年终汇总,相关疑问的请对号入座! 中文技术支持Synchronizing multiple AD9361 devices [Analog Devices Wiki]Releases [Analog Devices Wiki]AD-FMCOMMS2 3 4-EBZ on Microblaze [Analog Devices Wiki]EVAL-AD-FMCOMMS3-EBZ 评估套件 亚德诺半导体Using and m

2021-04-11 17:50:44 491

原创 FPGA实现AD9361数据接口逻辑

作者:ShownSun工作室:时沿科技文章目录FPGA实现AD9361数据接口逻辑1 引言2 AD93612.1 芯片简介2.2 参数配置2.3 引脚2.4 接口时序3 参考代码3.1 SelectIO配置3.2 数据解析FPGA实现AD9361数据接口逻辑1 引言  本文通过以高速AD9361芯片为例进行数据接口逻辑代码的编写,利用SelectIO IP快速高效完成芯片驱动的生成。关于SelectIO IP的使用,可以参考Xilinx SelectIO IP使用说明(一)。2 AD936..

2021-04-11 16:01:50 9257 14

原创 ad936x-filter-wizard使用说明

ad936x-filter-wizard使用说明AD9361滤波器资源图 1 AD9361整体结构图  从上图可以看出,AD9361内部资源非常丰富,本文的重点在滤波器部分,其他资源不进行说明。由图可知,发射与接受模块滤波器部分都包含HB3、HB2、HB1、FIR滤波器。RFIR  接收FIR数字滤波器,最小16拍最大128拍(必须为16倍数),抽取因子1、2和4,可编程增益-12dB、-6dB、0dB与6dB。RHB1  固定系数半带抽取数字滤波器,抽取因子1、2,固定系数为[-8, 0,

2021-04-11 10:59:31 1878

原创 Markdown使用大全

标题语法# 一级标题## 二级标题### 三级标题#### 四级标题##### 五级标题###### 六级标题效果一级标题二级标题三级标题四级标题五级标题六级标题列表语法- 无序列表1- 无序列表2 - 无序列表2.1 - 无序列表2.2* 无序列表1* 无序列表2 * 无序列表2.1 * 无序列表2.2+ 无序列表1+ 无序列表2 + 无序列表2.1 + 无序列表2.2 1. 有序列表1 2. 有序列表3 3. 有序列

2021-04-09 09:07:13 463

原创 AD936x Evaluation Software 详细配置

作者:ShownSun工作室:时沿科技文章目录AD936x Evaluation Software详细配置1 系统概述2 AD9361 Wireless Transceiver Evaluation Setup2.1 标签描述2.2 用户参数2.3详细配置3 AD936x RF Wizard3.1 标签描述3.2 用户参数3.3 详细配置4 AD936x Digital Filter Wizard4.1 标签描述4.1.1 时钟配置4.1.2 滤波器配置4.1.3 接收滤波器配置4.1.4 发射滤..

2021-04-08 22:12:10 3748 5

原创 AD9361射频捷变收发器系列对比

作者:ShownSun工作室:时沿科技 AD9361射频捷变收发器系列对比产品型号Freq Response(min)HzFreq Response(max)HzDevice ConfigurationIF/Channel BW(min)HzIF/Channel BW(max)HzInterface ProtocolAD9361S70M6G2 Rx, 2 Tx200k56MJESD207, SPIADRV902975M6G4 Rx + 4 T..

2021-04-06 23:18:08 4207 3

翻译 AD9361射频捷变收发器系列推荐

文章目录AD9361射频捷变收发器系列推荐AD9361优势与特点产品详情应用ADRV9010优势与特点产品详情应用AD9361射频捷变收发器系列推荐AD9361图 1 AD9361结构框图优势与特点集成12位DAC和ADC的RF 2 × 2收发器TX频段:47 MHz至6.0 GHzRX频段:70 MHz至6.0 GHz支持TDD和FDD操作可调谐通道带宽:<200 kHz至56 MHz双通道接收器:6路差分或12路单端输入出色的接收器灵敏度,噪声系数为2 dB (80..

2021-03-31 21:54:39 2938

原创 基于SelectIO的高速DAC时序实现(三)

文章目录基于SelectIO的高速DAC时序实现引言AD9747芯片简介引脚接口时序SelectIO GUI配置基于SelectIO的高速DAC时序实现引言  本文通过以高速AD9747芯片为例进行实战,利用SelectIO IP快速高效完成驱动的生成。关于SelectIO IP的使用,可以参考。AD9747芯片简介  AD9743/AD9745/AD976/AD9747均为引脚兼容、高动态范围、双通道数模转换器(DAC),分别具有10/12/14/16位分辨率,采样速率最高可达250 MSP.

2021-01-25 22:20:08 2724 4

原创 基于SelectIO的高速ADC时序实现(二)

Learning is the only thing the mind never exhausts, never fears and never regrets.                              -------Leonardo da Vinci世上唯一能让心灵永不枯竭、永不恐惧、永不后悔是学习。基于SelectIO的高速ADC时序实现引言  本文通过以高速ADS42LB69芯片为例进行实战,利用SelectIO IP快速快速高效完成驱动的生成。关于SelectIO..

2021-01-25 00:13:50 4342

原创 Xilinx SelectIO IP使用说明(一)

You have to believe in yourself. That’s the secret of success.-------Charles Chaplin人必须有自信,这是成功的秘密。文章目录Xilinx SelectIO IP使用说明引言介绍特色产品说明书时钟缓冲和处理数据路径标准设计流程Data Bus SetupInterface TemplateData Bus DirectionData RateSerialization FactorExternal Data Widt..

2021-01-24 23:24:07 8075

原创 利用DDS IP实现非重复扫描系统(三)

一个不容忽视的现实是:很多时候,我们穿衣服时第一道扣子扣错了,却总在扣最后一道扣子的时候才发现。衣服的扣子扣错了,大不了再扣一遍,但人生的扣子一旦扣错了,是无法重来一次的。文章目录利用DDS IP实现非重复扫描系统1 DDS技术简介2 DDS IP使用说明3 非重复扫描系统3.1 伯努利双纽线3.1.1 简介3.1.2 表达式3.1.3 图像3.2 伯努利双纽线改进型4 DDS IP实现伯努利改进型利用DDS IP实现非重复扫描系统1 DDS技术简介随着电子技术的不断发展,传统的频率合..

2021-01-17 23:36:37 510

原创 利用DDS IP实现线性调频信号(二)

“幸福不会从天而降,梦想不会自动成真”;“幸福都是奋斗出来的”;“世界上没有坐享其成的好事,要幸福就要奋斗”;文章目录利用DDS IP实现线性调频信号1 DDS技术简介2 DDS IP使用说明3 线性调频信号3.1 理论介绍3.1.1 基本概念3.1.2 表达公式3.1.3 应用范围3.2 Matlab仿真3.2.1 matlab代码3.2.2 仿真结果图像3.3 FPGA实现3.3.1 参数计算3.3.2 仿真结果利用DDS IP实现线性调频信号1 DDS技术简介随着电子技术的不断发..

2021-01-17 21:57:27 7045 12

原创 基于FPGA的DDS设计方案(一)

The furure belongs to those who believe in the beauty of their dreams未来属于那些相信梦想美好的人们文章目录基于FPGA的DDS设计方案1 DDS技术简介2 DDS结构原理2.1 基本结构2.2 基本原理3 基于FPGA的 DDS实现3.1 利用RTL实现DDS3.2 利用Xlinx DDS IP实现4 DDS用途基于FPGA的DDS设计方案1 DDS技术简介随着电子技术的不断发展,传统的频率合成技术逐渐不能满足人们..

2021-01-17 15:50:53 3018

driver_load.rar

详细说明见https://blog.csdn.net/u014447324/article/details/122466938?spm=1001.2014.3001.5501

2022-01-13

dds_compiler_chirp.rar

利用DDS IP实现线性调频信号(二)https://blog.csdn.net/u014447324/article/details/112758059?spm=1001.2014.3001.5501

2021-06-29

dds_compiler_chirp.rar

利用DDS IP实现线性调频信号(二) https://blog.csdn.net/u014447324/article/details/112758059?spm=1001.2014.3001.5501

2021-06-29

VeloView_V3.5.0.rar

VeloView V3.5.0版本源码,来源于github

2021-05-06

VeloView_Developer_Guide.pdf

VeloView开发者指南,里面详细介绍了VeloView的github代码如何搭建windows或者Linux编译环境,以及如何进行编译

2021-05-06

data_process.v

AD9361数据接口部分--IQ数据解析与打包,使用教程见:https://blog.csdn.net/u014447324/article/details/115600711?spm=1001.2014.3001.5501

2021-05-05

ug585-Zynq-7000-TRM.pdf

Zynq PS端各个模块的详解

2021-04-12

AD936X数字滤波器设计.pdf

ad936x-filter-wizard使用说明

2021-04-11

ADI_AD9361_Config.rar

AD9361初始化寄存器配置软件

2021-04-09

ad936x-filter-wizard-16.1.1.rar

用于AD9361芯片的滤波器参数设计

2021-04-09

stc15下载器

stc15下载器

2014-09-28

万硅51单片机STC89C52最小系统板程序

用51单片机STC89C52实现GSM程序用51单片机STC89C52实现GSM程序

2014-07-21

GSM发英文名短信

GSM发英文短信

2014-07-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除