Verilog HDL作业1_1

Verilog HDL作业1_1


目录

作业要求

信号定义:

信号名称方向位宽说明
CLK输入1输入时钟信号
RST输入1输入复位清零信号,异步高电平有效
CNT输出3输出计数值信号

计数器特征:
该计数器特征为,从0计数到5,然后又变成0,如此往复

0 1 2 … 50 1 2 … 50 1 2 … 5……

Quartus RTL电路图

这里写图片描述

仿真波形

这里写图片描述

代码块

module verilog_HDL_1(clk, rst,cnt);
reg[3:0] length=4'D5;
input clk,rst;

output reg[3:0]cnt;

always @(posedge clk)
begin
 if(rst)
  cnt<=4'h0;
  else
  begin
     if (cnt[3:0]==length)
     begin
        cnt[3:0]=0;
     end
     else
          cnt[3:0]=cnt[3:0]+1;
     end
  end
  endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值