Verilog状态机学习笔记

这篇博客详细介绍了Verilog中状态机的设计,包括状态空间定义、状态跳转的时序逻辑、下个状态的组合逻辑判断以及各状态下的具体动作。通过对状态机四段论的阐述,帮助读者理解如何在FPGA中实现状态机。
摘要由CSDN通过智能技术生成

Verilog学习记录之状态机设计

状态机设计

四段论

  1. 状态空间定义
  2. 状态跳转
  3. 下个状态判断
  4. 各状态下的动作

1.状态空间定义

状态空间是区分状态机工作在不同状况下的标志,用常量定义。

parameter SLEEP = 2'b00;
parameter STUDY = 2'b01;
parameter EAT = 2'b 10;
parameter AMUSE = 2'b11;
reg [1:0] current_state;
reg [1:0] next_state;

2.状态跳转(时序逻辑)

always @(posedge clk or negedge rst_n)
begin
       if (!rst_n)
         current_state <= SLEEP;
       else 
         current_state 
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值