模100 计数器

模100 计数器

module counter100 #(parameter N=100, WIDTH=7)(
	input clk,
	input rst_n_a,
	output reg [WIDTH-1:0] counter,
	output reg en); //计数完成一次输出使能

always@(posedge clk or negedge rst_n_a)
	if(!rst_n_a)
		begin counter<=0; en<=0;end
	else if(counter==N-1)
		begin counter<=0; en<=1; end
	else begin counter<=counter+1; en<=0; end
	
endmodule	

测试代码(sv)


module tb_counter100(
    );
logic clk, rst_n_a, en;
logic [6:0] counter;

counter100 #(.N(100), .WIDTH(7)) counter100(clk, rst_n_a, counter, en);

initial
    begin
    clk=0;
    forever #5 clk=~clk;
    end
initial
    begin
    rst_n_a=0;
    #34
    rst_n_a=1;
    end
endmodule
  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值