自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(31)
  • 资源 (3)
  • 收藏
  • 关注

原创 vue跨域设置

enviroment设置。

2024-04-22 18:42:02 235

原创 解决安装mysqlclient出错

进行pip install mysqlclient出现以下错误:解决的方法:

2023-10-08 00:22:58 228

原创 IF中频信号解读

采样得到的数字信号中,如果出现噪声,则体现为信号的抖动和波动等。这些噪声也是以幅值的形式体现,但是通常会被认为是与信号本身无关的因素,需要在信号处理过程中进行降噪处理。因此,采样得到的数字信号通常被视为中频信号的幅值而不是信噪比。采样得到的数字信号是中频信号的幅值,这些幅值随时间变化,在数字信号中以一个序列的形式记录下来。可以通过这个幅值序列对物体的距离、速度、方向等性质进行分析。

2023-06-13 10:56:50 522

原创 特征工程处理方法

特征工程处理方法:

2023-06-05 09:37:32 106

原创 可用的matlab安装方法

参考:最新MATLAB R2020b超详细安装教程(附完整安装文件) - 思绪无限 - 博客园 (cnblogs.com)

2023-06-02 09:37:25 106

原创 docker配置django遇到的数据库问题

问题:django.db.utils.OperationalError: (2002, "Can't connect to local MySQL server through socket '/run/mysqld/mysqld.sock' (2)")解决办法:'HOST': 'db', # 注意:这里使用的是db别名,docker会自动解析成ip。原因:'HOST'设置成了‘localhost’

2023-06-01 00:43:00 122

原创 windows下控制usb操作准备

本步骤参考:usb.core.NoBackendError No backend available。复制 MS64\dll\libusb-1.0.dll到C:\Windows\System32。复制MS32\dll\libusb-1.0.dll到C:\Windows\SysWOW64。1.在这个链接下载源文件 /libusb-1.0 解压,以上工作完成即可使用usb口。

2023-05-25 11:33:24 248

原创 OSError: [WinError 127] 找不到指定的程序。Error loading “caffe2_detectron_ops.dll“ or one of its dependencies

又报错OSError: [WinError 127] 找不到指定的程序。

2023-03-21 21:28:38 1191

原创 pcl问题解决(一)

pcl点云库使用遇到的问题

2022-11-18 17:39:39 975

原创 解决pip无法更新的办法

pip更新失败的解决方法

2022-08-13 15:49:04 597

原创 ubuntu yolov5报错:RuntimeError: CUDA error: no kernel image is available for execution on the device

Plotting labels to runs/train/exp8/labels.jpg…Traceback (most recent call last):File “train.py”, line 670, in main(opt)File “train.py”, line 565, in maintrain(opt.hyp, opt, device, callbacks)File “train.py”, line 265, in traincheck_anchors(dataset,

2022-05-15 14:52:07 879

原创 Eigen 出错之一

问题:/usr/local/include/eigen3/Eigen/src/Core/GeneralProduct.h:410:3: error: static assertion failed: INVALID_MATRIX_PRODUCT410 | EIGEN_STATIC_ASSERT(ProductIsValid || SameSizes, INVALID_MATRIX_PRODUCT)| ^~~~~~~~~~~~~~~~~~~原因:在使用Eigen库进行运算时,结果使用了自动推断,

2022-05-01 17:26:59 1130

原创 关于opencv3.4.5报错问题

在Ubuntu20.04上使用OpenCV 3.4.5时总是报以下错误,后改为使用OpenCV 4.5.5可以解决此问题terminate called after throwing an instance of ‘cv::Exception’what(): OpenCV(3.4.5) /home/wang/opencv-3.4.5/modules/highgui/src/window.cpp:634: error: (-2:Unspecified error) The function is not

2022-04-21 15:55:20 4188

原创 无法安装freeglut3的解决办法

sudo apt-get install freeglut3-dev正在读取软件包列表... 完成正在分析软件包的依赖关系树 正在读取状态信息... 完成 下列软件包是自动安装的并且现在不需要了: libfwupdplugin1使用'sudo apt autoremove'来卸载它(它们)。将会同时安装下列软件: freeglut3下列【新】软件包将被安装: freeglut3 freeglut3-dev升级了 0 个软件包,新安装了 2 个软件包,要卸载...

2022-04-21 00:57:33 3127 12

原创 ubuntu16.04 安装numpy遇到问题解决方法

ubuntu1604系统默认带的是python2.7,在使用pip安装numpy的时候会出现安装不上的问题。为了解决以下问题,如下步骤亲测有效:一,把系统的python版本改成python3:sudo update-alternatives --install /usr/bin/python python /usr/bin/python2 100sudo update-alternatives --install /usr/bin/python python /usr/bin/python3 150

2022-04-20 01:49:08 2629

原创 slam14讲ch13中编译的pangolin问题

我在编译十四讲第十三章的时候遇到了以下问题:/home/wang/my_slam/src/viewer.cpp: In member function ‘void Viewer::FollowCurrentFrame(pangolin::OpenGlRenderState&)’:/home/wang/my_slam/src/viewer.cpp:87:40: error: no matching function for call to ‘pangolin::OpenGlMatrix::Open

2022-04-08 11:03:06 671

转载 解决找不到cs.h的方法

Had the same issue. Got it resolved by reinstalling SuiteSparse to /usr/local, which does not seems to be the default behavior. Here are the steps:Checkout SuiteSparse git clone https://github.com/jluttine/suitesparse or download from their website and un

2022-04-05 14:27:40 381

原创 解决sophus库文件引用失败的问题

ubuntu在安装了Eigen库文件的时候,默认是安装在/usr/include路径下,而且新的eigen安装后还要套上一个文件夹,eigen3,很多依赖Eigen的库在安装以后就找不到以前的Eigen报错,sophus也是同样的问题。因此在使用sophus库的时候,只要进入/usr/include路径下找到eigen3,爸Eigen拷贝一份到外面来即可。...

2022-04-03 15:13:43 350

原创 获取protobuf extensions内容的方法

获取protobuf extensions字段内容

2022-03-23 22:30:12 419

原创 ubuntu安装opencv出错的解决方法

1.报错一:OSError: libopencv_core.so.3.2: cannot open shared object file: No such file or directory原因:没有安装opencv解决方法:sudo apt-get install libopencv-dev(安装之前可能需要设置软件源)2.报错二:W: Target Packages (Packages) is configured multiple times in /etc/apt/sources.list:

2022-03-11 15:12:17 6790 1

原创 视觉十四讲第六章G2O实践出错后的解决方法

这里写自定义目录标题OpenCVCeresEigen与G2O和OpenCV链接cmake_minimum_required(VERSION 2.8)project(ch6_g2ocurvefiting)#set(CMAKE_CXX_FLAGS “-std=c++14 -O3”)set(CMAKE_CXX_STANDARD 14)LIST( APPEND CMAKE_MODULE_PATH /wang/g2o/cmake_modules )SET( G2O_ROOT /usr/local/incl

2021-09-09 11:03:12 428

原创 机器人键盘操控问题解决1

我在运行胡春旭的例程时,运行键盘操控模拟机器人出错,错误信息说找不到该结点,但我的文件中有该结点而且编译都通过。再看,错误信息中有.py,的Python文件,查看是没给运行权限导致的py文件不能运行。授予权限后,例程能够正常运行。...

2021-06-05 11:56:05 269

pycharm远程登录mysql报错的解决方法

使用Pycharm远程连接Mysql服务器失败的解决方法#使用Pycharm远程连接Mysql服务器失败的解决方法mysql安装在远程主机,可以通过ssh登录远程主机并正常使用mysql,但在其他电脑上使用pycharm远程连接数据库时候,访问被拒绝,解决方法如下:1.确定防火墙没有阻止3306端口2查看端口情况,发现只给本地IP使用,需要把·127.0.0.1 改成0.0.0.0netstat -an|grep 3306tcp 0 0 127.0.0.1:3306

2021-04-09 09:50:05 312

原创 ubuntu16 noexcept报错的解决方法

安装ros-kinetic的navigation编译的时候遇到了const noexept的报错,原因是ubuntu1604的g++编译器版本较低,而navigation 中的一些函数后面添加了const noexcept修饰符导致无法编译,为了编译通过,就要安装g++6以下是网上copy 过来的解决方案,升级之后,编译通过。方法来源:https://www.cnblogs.com/Jacket-K/p/9830548.htmlUbuntu16.04 安装g++6 https://bl.

2021-01-19 11:32:52 218

原创 gazebo崩溃

1 虚拟机下打开gazebo报错:VMware: vmw_ioctl_command error Invalid argument[ INFO] [1610283240.619937255, 0.180000000]: LeePositionController got first odometry message.VMware: vmw_ioctl_command error Invalid argument.Aborted (core dumped)[gazebo_gui-3] proce.

2021-01-10 21:18:54 3808 1

原创 ROS问题及解决方案

1. 问题描述  在ROS编译过程中经常会遇到找不到ROS包的情况,如下所示CMake Error at /opt/ros/kinetic/share/catkin/cmake/catkinConfig.cmake:83 (find_package): Could not find a package configuration file provided by "gazebo_plugins" with any of the following names: gazebo_pl

2021-01-10 20:07:08 385 2

原创 ROS 遇到问题及解决——汇总

1 ImportError: No module named futureTraceback (most recent call last): File "/home/b/MMC_ArduPilot/modules/mavlink/pymavlink/tools/mavgen.py", line 16, in <module> from pymavlink.generator import mavgen File "/home/b/MMC_ArduPilot/modules...

2021-01-10 10:00:05 1860 2

原创 动态链表头插与尾插的区别

动态链表头插与尾插的区别头插:void insertByHead(List **l,int data){List temp=(List)malloc(sizeof(List));temp->data = data;temp->next=(*l);(*l)=temp;}尾插:void insertByTail(List **l,int data){List temp = (List)malloc(sizeof(List));temp->data = data;t

2020-11-11 20:58:19 186

原创 动态链表之头插法

动态链表之头插法#include#include<malloc.h>#include<time.h>using namespace std;static int length=0;typedef struct List{int data;List* next;}List;void listInit(List *l){l->data=0;l->next=NULL;}void insertByHead(List **l,int data){

2020-11-11 20:51:40 161

原创 动态链表之尾插法

动态链表动态链表#include#include<malloc.h>#include<time.h>using namespace std;static int length=0;typedef struct List{int data;List* next;}List;void listInit(List *l){l->data=0;l->next=NULL;}void insertByTail(List **l,int data){

2020-11-11 20:37:50 315

原创 quick_sort快速排序算法过程详解

quick_sort快速排序算法过程详解算法系列快速排序算法void quick_sort(int *a,int l,int r){if(l<r){int i,j,x; i=l; j=r; x=a[i]; while(i<j) { /*while(i<j && a[j]>x) j--; if(i<j) a[i++] = a[j]; while(i<j && a[i]<x) i++; if(i&

2020-10-28 19:05:45 423

freeglut3-dev_2.8.1-3_amd64.deb

freeglut3-dev_2.8.1-3_amd64.deb

2022-04-21

freeglut3-dev_2.8.1-3_amd64.deb

freeglut3-dev_2.8.1-3_amd64.deb

2022-04-21

算法导论MIT_IntroductionToAlgorithms_Cormen1111

国外优秀算法文献,好

2020-11-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除