计数器的 VHDL 程序

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY a2 IS
PORT(CLK:IN STD_LOGIC;
     Q: OUT STD_LOGIC_VECTOR (7 DOWNTO 0));
END a2;
ARCHITECTURE b2 oF a2 IS
SIGNAL Qtmp:STD_LOGIC_VECTOR(7 DOWNTO 0);
BEGIN
 PROCESS(CLK)
  BEGIN
   IF CLK' EVENT AND CLK='1' THEN
    Qtmp <= Qtmp+1;
   END IF;
 Q <=Qtmp;
END PROCESS;
END b2;
 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值