四选一选择器的 VHDL程序

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY a1 IS
 PORT(A,B,C,D,S1,S0:IN STD_LOGIC;
                  Y:OUT STD_LOGIC);
END a1;
ARCHITECTURE b1 OF a1 IS
 SIGNAL SS:STD_LOGIC_VECTOR (1 DOWNTO 0);
 BEGIN
   PROCESS(A,B,C,D,S1,S0)
    BEGIN
     SS<=S1&S0;
      CASE SS IS
       WHEN"00"=>Y<=A;
       WHEN"01"=>Y<=B;
       WHEN"10"=>Y<=C;
       WHEN"11"=>Y<=D;
       WHEN OTHERS=>NULL;
      END CASE;
   END PROCESS;
END b1; 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值