基于 DDR3 的串口传图缓存系统设计实现(Native | Verliog | Vivado)

DDR系列文章分类地址:
(1)DDR3 基础知识分享
(2)DDR3 控制器 MIG IP 详解完整版 (AXI4&Vivado&Verilog)
(3)DDR3 控制器 MIG IP 详解完整版 (native&Vivado&Verilog)
(4)基于 DDR3 的串口传图帧缓存系统设计实现
(5)基于 DDR3 的native接口串口局部传图缓存系统设计实现
(6)基于 DDR3 的串口传图缓存系统设计实现
(7)基于 FPGA 的彩色图像灰度化的设计实现


前言

结合串口接收模块和 tft 显示屏控制模块,设计一个基于 DDR3 的Native接口串口传图帧缓存系统。


提示:以下是本篇文章正文内容,下面案例可供参考

一、串口传图顶层系统设计框图

在这里插入图片描述

二、各模块说明

(1)uart_byte_rx 模块:负责串口图像数据的接收,该模块的设计前面章节已经有讲(可参考串口接收)。
(2)bit8_trans_bit16 模块:将串口接收的每两个 8bit 数据转换成一个 16bit 数据(图像数据是 16bit 的 RGB565 的数据,电脑是通过串口将一个像素点数据分两次发送到 FPGA,FPGA 需将串口接收数据重组成 16bit 的图像数据),实现过程相对比较简单(可参考8_trans_16)。
(3)disp_driver 模块:tft 屏显示驱动控制,对缓存在 DDR3 中的图像数据进行显示(可参考VGA成像原理)。
(6)fifo_mig_native_fifo 模块:主要是用于接口的转换,将 MIG IP 的 Native 接口换成与 FIFO对接的接口,里面例化了wr_ddr3_fifo 模块和rd_ddr3_fifo 模块(可参考fifo_mig_native_fifo 模块)。
(7)mig_7series_native 模块: DDR3 控制器,使用的 Memory Interface Generator(MIG 7Series)IP(可参考mig_7series_native 模块 )。
(8)pll 模块:上述各个模块所需时钟的产生,使用 PLL IP。除去使用 IP 和前面章节讲过的模块外,还需要设计的模块包括 bit8_trans_bit16 模块和fifo_mig_axi_fifo 模块(IP生成在下方有介绍)。
(9)顶层模块uart_ddr3_native_tft,用于实现上述模块的转换与连接。

三、uart_ddr3_native_tft模块

此部分主要用于连接各模块,用于数据转化:

`timescale 1ns / 1ns
//
// Company: 
// Engineer: 
// 
// Create Date: 2023/06/20 08:58:45
// Design Name: 
// Module Name: uart_fifo_ddr3_fifo_tft
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module uart_ddr3_native_tft(
	  //System clock reset
	  input           clk50m        , //系统时钟输入,50MHz
	  input           reset_n       , //复位信号输入
	  //LED
	  output [3:0]    led           ,
	  //Uart interface              
	  input           uart_rx       , //串口输入信号
	  //TFT Interface               
	  output [15:0]   TFT_rgb       , //TFT数据输出
	  output          TFT_hs        , //TFT行同步信号
	  output          TFT_vs        , //TFT场同步信号
	  output          TFT_clk       , //TFT像素时钟
	  output          TFT_de        , //TFT数据使能
	  output          TFT_PWM       , //TFT背光控制
	  //DDR3 Interface
	  // Inouts
	  inout  [15:0]   ddr3_dq       ,
	  inout  [1:0]    ddr3_dqs_n    ,
	  inout  [1:0]    ddr3_dqs_p    , 
	  // Outputs      
	  output [13:0]   ddr3_addr     ,
	  output [2:0]    ddr3_ba       ,
	  output          ddr3_ras_n    ,
	  output          ddr3_cas_n    ,
	  output          ddr3_we_n     ,
	  output          ddr3_reset_n  ,
	  output [0:0]    ddr3_ck_p     ,
	  output [0:0]    ddr3_ck_n     ,
	  output [0:0]    ddr3_cke      ,
	  output [0:0]    ddr3_cs_n     ,
	  output [1:0]    ddr3_dm       ,
	  output [0:0]    ddr3_odt      ,

      
	  
	  
	  

      	  
	);
 
     //pll interface
     wire loc_clk200M;
     wire loc_clk33M ;
	 wire loc_clk50M ;
	 wire loc_clk165M;
     wire pll_locked ;
	 
	 //uart Interface 
	 wire [7:0]uart_byte ;
	 wire uart_byte_vaild;
	 
     
	 //bit8_trans_bit16 interface 
	 wire [15:0]image_data;
	 wire image_data_valid;
	 
	 
	 // fifo_mig_axi_fifo Interface
	 
	 wire [15:0]rdfifo_dout  ;
	 wire ui_clk             ;
	 wire ui_clk_sync_rst    ; 
	 
	 wire rdfifo_rden;
	 
	 wire init_calib_complete; 
	 
	 
	  
	  
	 
	 //disp_driver interface
	 wire frame_begin;
     wire rdfifo_WR_EN;
 
    
     //TFT interface
      wire [15:0] disp_data; 
	  wire DataReq;
	  wire disp_hs;
      wire disp_vs; 
	  wire disp_de;
      wire disp_pclk;
	  wire [15:0]disp_rgb;
  
   
   assign led = {init_calib_complete,pll_locked,rdfifo_WR_EN,TFT_vs};
   
   
   //--------------------------------------------------------
	//数据大小
     
    parameter disp_width = 800,
	          disp_height= 480;
              
			  
	//---------------------------------------------------------	


	
 
	pll pll
  (
    // Clock out ports
    .clk_out1 (loc_clk50M   ), // output clk_out1
    .clk_out2 (loc_clk200M  ), // output clk_out2
    .clk_out3 (loc_clk33M   ), // output clk_out3
    .clk_out4 (loc_clk165M  ), // output clk_out4
    // Status and control signals
    .resetn   (reset_n      ), // input reset
    .locked   (pll_locked   ), // output locked
    // Clock in ports
    .clk_in1  (clk50m       )  // input clk_in1
  );  	


     
	 
	 
	 
	 
			  
			  
			  
	 
			

     
	 


	uart_byte_rx#(
	   .CLK_FRQ(1000000000)
	  )
	  uart_byte_rx(
	   .clk      (loc_clk50M      ),
	   .reset_p  (ui_clk_sync_rst ),

	   .baud_set (3'd4            ), //115200bps
	   .uart_rx  (uart_rx         ),

	   .data_byte(uart_byte       ),
	   .rx_done  (uart_byte_vaild )  //一个字节数据有效的标志
	  );
	  
	  
	  
	  
	  
	  
	  
	 
	 
	 bit8_trans_bit16 bit8_trans_bit16
	  (
		.clk             (loc_clk50M	  ),
		.reset_p         (ui_clk_sync_rst ),

		.bit8_in         (uart_byte       ),
		.bit8_in_valid   (uart_byte_vaild ),

		.bit16_out       (image_data      ),
		.bit16_out_valid (image_data_valid)
	  );
  
  
	  
	  
	  
	  
	  
	 
  	
	  
	
	  
	
	fifo_ddr3_native_fifo#(
			
			. wr_req_cnt_thresh (12'd6                 )        ,
			. rd_req_cnt_thresh (12'd6                 )        ,
			. wr_rd_cnt         (disp_width*disp_height)        ,
			. rdfifo_depth_max  (12'd4095              )
			 

	)fifo_ddr3_native_fifo
	(
			
			//wr_ddr3_fifo ports
			 .wrfifo_rst    (ui_clk_sync_rst    )      ,
			 .loc_clk50M    (loc_clk50M         )      ,
			 .wrfifo_din    (image_data         )      ,
			 .wrfifo_wren   (image_data_valid   )      ,
			 
			 //rd_ddr3_fifo ports
			 .rdfifo_rst    (ui_clk_sync_rst    )       ,
			 .loc_clk33M    (loc_clk33M         )       ,
			 .rdfifo_rden   (DataReq            )       ,
			 .rdfifo_dout   (rdfifo_dout        )       ,
		      
				
			 .rdfifo_WR_EN  (rdfifo_WR_EN       )       ,
				
			//DDR3 Interface
			  //input
			  . loc_clk200M  (loc_clk200M       )        ,
			  . xx_sys_rst   (pll_locked        )        ,       //用于连接 pll_locked
			 
			  //output
			  . ui_clk          (ui_clk         )        ,
			  . ui_clk_sync_rst (ui_clk_sync_rst)        , 
			  . init_calib_complete(init_calib_complete) ,
			  
				
				

		   //DDR3 Interface
			  // Inouts
			  . ddr3_dq     (ddr3_dq      )  ,
			  . ddr3_dqs_n  (ddr3_dqs_n   )  ,
			  . ddr3_dqs_p  (ddr3_dqs_p   )  , 
			  // Outputs      
			  . ddr3_addr    (ddr3_addr   )  ,
			  . ddr3_ba      (ddr3_ba     )  ,
			  . ddr3_ras_n   (ddr3_ras_n  )  ,
			  . ddr3_cas_n   (ddr3_cas_n  )  ,
			  . ddr3_we_n    (ddr3_we_n   )  ,
			  . ddr3_reset_n (ddr3_reset_n)  ,
			  . ddr3_ck_p    (ddr3_ck_p   )  ,
			  . ddr3_ck_n    (ddr3_ck_n   )  ,
			  . ddr3_cke     (ddr3_cke    )  ,
			  . ddr3_cs_n    (ddr3_cs_n   )  ,
			  . ddr3_dm      (ddr3_dm     )  ,
			  . ddr3_odt     (ddr3_odt    )    
		);
	  
	  
	  
	  
	  
	  
	  
	  
	  
	  
	  
	  
	  disp_driver disp_driver
	  (
		.ClkDisp     (loc_clk33M     ),
		.Rst_p       (ui_clk_sync_rst),
        .EN          (rdfifo_WR_EN   ),
		
		.Data        (rdfifo_dout    ),
		.DataReq     (DataReq        ),
        .hcount      (),
		.vcount      (),


		.Disp_HS     (disp_hs         ),
		.Disp_VS     (disp_vs         ),
		.Disp_RGB    (disp_rgb        ),
		
		
		.Disp_DE     (disp_de         ),
		.Disp_PCLK   (disp_pclk       ),
		.TFT_PWM     (TFT_PWM         )
	  );
	  
	  
	  //TFT
	  assign TFT_rgb = disp_rgb ;
	  assign TFT_hs  = disp_hs  ;
	  assign TFT_vs  = disp_vs  ;
	  assign TFT_clk = disp_pclk;
	  assign TFT_de  = disp_de  ;
	  
	  
	  
	  
	  
	  
	
	  
	  
	  
  
  
  
  
  
endmodule

四、ILA数据抓取

测试思路:
通过串口调试助手发送数据,用ILA抓取开始数据,与结束数据。
在这里插入图片描述

在这里插入图片描述
抓取TFT_de == 1 ,vcount_r == 35处数据,TFT_rgb为CF9B,符合发送数据的开始,
在这里插入图片描述

抓取TFT_de == 1 ,vcount_r == 514处数据,TFT_rgb为B4F8,符合发送数据的结束,
在这里插入图片描述
再次抓取TFT_de == 1 ,vcount_r == 35处数据,TFT_rgb仍为CF9B,保证所有的VS HS数据一致,因此数据验证正确。

五、上板测试

通过串口调试助手发送所需数据,等待板子上LED3亮起,图片传输开始:

在这里插入图片描述

  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
### 回答1: 基于VivadoVerilog频率计是一种能够实时测量信号频率的设计Verilog是一种硬件描述语言,Vivado则是一种流行的FPGA设计工具,可以用于设计实现数字电路。 在设计Verilog频率计时,首先需要定义输入和输出信号的端口。输入信号是要测量频率的信号,输出信号是计算得到的频率。然后,可以使用计数器来实现频率计的功能。 在Verilog中,可以使用时钟边沿触发的计数器来计算输入信号的周期。通过计算多个时钟周期内的计数值,可以得到输入信号的频率。 具体实现时,可以使用一个寄存器来存储当前的计数值,然后在每个时钟周期上升沿触发时,将计数值加1。同时,可以使用一个计数使能来控制计数器何时开始和停止计数。 当计数器停止计数后,可以通过除法器将计数值转换为频率值。频率值可以表示为每秒钟的周期数,即输入信号的频率。 最后,将计算得到的频率值输出到输出端口,以便外部系统可以读取并使用该频率值。 总的来说,基于VivadoVerilog频率计的设计思路是通过计数器对输入信号的周期进行计数,并将结果转换为频率值输出。这种频率计可以广泛应用于需要实时测量信号频率的电子系统中。 ### 回答2: 基于vivadoverilog频率计是一种可以测量信号频率的电子设备。它是通过使用Xilinx的Vivado开发工具以及Verilog硬件描述语言来设计实现的。 频率计的设计需要包括三个主要部分:输入模块、计数器和输出模块。输入模块用于接收待测频率的信号,并将其转换为数字信号供计数器使用。计数器是核心部分,用于记录输入模块接收到的信号的脉冲数量。输出模块则用于显示计数器输出的频率数值。 在Verilog中,可以使用时钟信号来驱动计数器的计数过程。计数器会在每个时钟周期内对输入信号进行检测,并根据信号的脉冲数量来进行计数。同时,一个定时器可以配置为在一定时间间隔后停止计数,这样可以得到精确的频率数值。 设计频率计时,还需要考虑一些技术细节。例如,输入模块可以包含一个触发器,用于检测上升沿或下降沿信号,并将其转换为可供计数器使用的脉冲信号。此外,计数器需要具备足够宽度的寄存器,以保存大量的计数值,并避免溢出现象。 最后,频率计的输出模块可以通过连接显示屏或者其他显示设备,将计数器输出的频率数值显示出来。输出模块可以使用数字显示模块,将计数器的数值转换为人类可读的频率数值。 总而言之,基于vivadoverilog频率计是一种通过使用Vivado开发工具和Verilog硬件描述语言设计实现的电子设备,能够测量信号的频率。通过输入模块接收信号,计数器进行计数,最后通过输出模块将频率数值显示出来。 ### 回答3: 基于vivadoVerilog频率计是一种用于测量输入脉冲信号频率的电子设备。它可以通过计数输入信号的上升沿或下降沿来确定信号的频率。此频率计由Verilog语言编写,并使用Xilinx的Vivado开发环境进行仿真和综合。 首先,我们需要定义计数器模块。计数器模块包括一个触发信号输入端(例如,上升沿触发)和一个输出端,用于输出频率测量结果。 ```verilog module counter #(parameter WIDTH = 8)( input wire clk, input wire rst, input wire trigger, output reg [WIDTH-1:0] count ); always @(posedge clk or posedge rst) begin if (rst) count <= 0; else if (trigger) count <= count + 1; end endmodule ``` 然后,我们需要一个顶层模块来实例化计数器,并连接输入信号和时钟信号。 ```verilog module top_module( input wire clk, input wire rst, input wire trigger, output wire [WIDTH-1:0] count ); wire [WIDTH-1:0] count_w; counter #(WIDTH) counter_inst ( .clk(clk), .rst(rst), .trigger(trigger), .count(count_w) ); assign count = count_w; endmodule ``` 最后,我们可以仿真和综合此Verilog代码。在Vivado中,我们可以使用IP Integrator设计模式,选择我们的顶层模块,并将其与CLOCK和TRIGGER信号连接。然后,我们可以进行综合和烧录,以在FPGA上运行我们的频率计。 总而言之,基于vivadoVerilog频率计是一种用于测量输入脉冲信号频率的电子设备。它可以通过对信号的上升沿或下降沿计数来确定信号的频率。通过使用Verilog语言编写代码,并在Vivado中进行仿真和综合,我们可以实现一个准确的频率计。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

C.V-Pupil

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值