fpga 仿真 从matlab读数,FPGA学习笔记之——Verilog 模块中的中间数据通过仿真以文件形式导出并用matlab画图...

在较大的工程中,检查FPGA中模块的中间数据是一件工作量很大的事情,尤其是一张图片的处理用Verilog代码描述出来,一幅图的中间数据量很大,如果可以通过仿真把中间模块的处理数据提取出来,用matalb转化成二维图形或者写一个小程序把仿真数据与算法数据进行对比,然后画图,这样会比较直观,也会更容易检查。

1、模块中间数据提取

在FPGA的仿真文件中,需要把要中间变量拉到仿真文件的顶层模块中

以下面的代码为例:

reg clk;

reg rst;

reg en;

reg [3:0]data_i;

wire [3:0]data_test;

wire test_en;

wire ov;

always #5 clk = ~clk;

always #10 data_i = data_i + 1;

initial

begin

clk = 0;

rst = 1;

data_i = 0;

#100 rst = 0'

#50 en = 1;

#10 en = 0;

end

top top(

.clk (clk ),

.rst (rst ),

.en (en ),

.data_i (data_i),

.data_test (data_test),

.test_en (test_en ),

.ov (ov )

);

integer file;

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值