verilog从txt中读取_verilog语言中的文件读写

verilog语言中的文件读写

原创: IC控 IC控 2月22日

在Verilog语言中,对文件的读写一般用在编写testbench,而用到的系统函数大概有以下几种,首先是$fopen,然后是对文件的读或写$fread$fwrite,最后是关闭文件 $fclose;

当在使能信号en有效的情况下,把输入数据data按照时钟clk的节拍写入以下路径的文件D:/Program Files/data/data.dat中;

module write_file(clk, prst, data, en);

input clk, en;

input data;

input prst;

integer file_id;

initial

begin

file_id = $fopen ("D:/Program Files/data/data.dat", "w+");

//注意处出的文件位置路径使用的"/"的方向,在verilog语言中且在windows操作系统

//下,用"/",但vhdl语言在windows操作系统下用 \

end

always@(posedge clk)

begin

if(en) $fwrite(file_id, "%b", data);

end

module

如果是读文件,使用$fread系统函数。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值