VCS仿真生成fsdb文件(Verilog)

VCS仿真生成fsdb文件(Verilog)

一、环境

  • Linux 平台 csh环境
  • VCS 64bit
  • Verdi3

二、开始仿真

1、 联合仿真环境配置

a.在testbench中加入如下语句:

initial begin
$fsdbDumpfile("tb.fsdb"); $fsdbDumpvars;
end

b.注意verdi接口库的路径(脚本中体现)

2、仿真脚本

 1 #!/bin/csh -f
 2 
 3 setenv NOVAS_HOME  /user/EDA_Tools/Synopsys/verdi3-I-201403-SP1
 4 setenv NOVAS_PLI ${NOVAS_HOME}/share/PLI/VCS/LINUX64
 5 setenv LD_LIBRARY_PATH $NOVAS_PLI
 6 
 7 setenv NOVAS  "${NOVAS_HOME}/share/PLI/VCS/LINUX64"
 8 
 9 setenv novas_args  "-P $NOVAS/novas.tab   $NOVAS/pli.a "
10 
11 vcs +v2k -sverilog +vcs+lic+wait -full64 -debug_pp \
12        +warn=noCDNYI,noIPDW,noILLGO,noTMR,noPHNE,noIRIID-W \
13        -Mupdate +notimingcheck +nospecify \
14        ${novas_args}\
15        -f file.f \
16 
17 ./simv 

当前目录下生成tb.fsdb文件

3、使用verdi查看波形

verdi -f file.f -ssf tb.fsdb &

 

转载于:https://www.cnblogs.com/OneFri/p/5988240.html

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值