VCS学习(6) 后仿 Fast Gate-level verification

对综合产生的门级网表(Gate-level)进行编译仿真

一:什么是后仿

  前仿不包括时序信息,即当作理想的器件看待,仅仅验证代码的功能;后仿,在有时序信息,有延迟情况下(器件自身的延迟,传输线上的延时等,与工艺器件有关)的仿真;后仿主要关注Toggle覆盖率,因为门级网表里面没有RTL级代码,没有if,case等,都是与或非门等。

  RTL级通过DC综合得到门级网表,布局布线得到门级网表,将两个门级网表加起来才是真正的网表文件;在本文中,我们只关注DC吐出来的网表以说明后仿。

  +rad可以对仿真进行优化。

  DC后不仅生成门级网表(也是.v文件),还产生.sdf文件(standard delay format,记录单元的时序的信息)。

二:后仿流程

 

 

三:SDF文件主要内容

  Delays(module,device,interconnect,port)

  Timing checks(setup,hold,setuphold,recovery,removal,recrem)

  Timing constrains(pathconstraint,skewconstraint,sum,diff)

  Timing environment(arrival,departure,slack,waveform)

  包括design,时间,工艺,版本,电压,温度,延时信息,基本单元延时信息等。

四:综合后在vcs后仿具体步骤

1:  将综合后的网表文件.v拷贝到rtl代码文件夹里(即源文件夹里),并将原源文件(也是.v)改成.v.bak文件,防止make找不到正确的.v文件;

    将综合后的SDF文件拷贝到tb文件夹(即testbench文件夹里);

2:  在top层文件里(即testbench文件夹)反标添加SDF;

    例如: 

 

3:  在makefile里添加说明(compile里)

       #vcs dut_gate.v -v sim_lib.v  //.v是网表文件,工艺库-v

4:  make com->make sim->make dve->在dve文件上观察波形,发现后仿波形不纯净

五:其他

1:  若SDF文件很大,可以进行预编译,dut.sdf文件变为dut.sdf_c

2:  选中delay方式:在compile开关选项上加+mindelays/+typedelays/+maxdelays

           或者在compile开关选项上加+allmtm,在simulate在加+mindelays/+typedelays/+maxdelays

3:  惯性延迟(器件自带),传输延迟

4:  若使用预编译方式,则+rad失效

 

  

 

转载于:https://www.cnblogs.com/xh13dream/p/8576343.html

  • 0
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
vcs错误v-16-1-10600表示在使用该版本控制系统时遇到了一个问题。具体错误信息可能因情况而异,错误代码v-16-1-10600只是标识问题的一种方式。这个错误代码通常与VCS的配置或运行环境有关。 要解决这个问题,可以尝试以下几种方法: 1. 检查VCS的配置:首先确保VCS的配置正确,包括仓库路径、用户名和密码等。检查VCS的配置文件,确保没有错误或缺漏。 2. 检查网络连接:确保电脑或服务器的网络连接正常,可以尝试通过Ping命令测试网络连接是否畅通。如果网络连接有问题,可能会导致VCS无法正常工作。 3. 检查文件权限:如果VCS操作的文件或目录没有足够的权限,可能会出现VCS错误。确保VCS所需的文件和目录具有正确的读写权限。 4. 升级或重新安装VCS:如果以上方法无效,可以尝试升级或重新安装VCS。有时候旧版本的VCS可能会有错误或兼容性问题,升级到最新版本或重新安装可以解决一些问题。 5. 咨询技术支持:如果以上方法仍无法解决问题,可以联系VCS的技术支持团队,向他们求助。他们可能会提供更具体的解决方案或帮助您诊断问题。 综上所述,vcs错误v-16-1-10600通常与VCS的配置或运行环境有关,通过检查配置、网络连接、文件权限,升级或重新安装VCS等方法,可以尝试解决这个问题。如遇到更严重的情况,建议咨询技术支持团队以获得更专业的解决方案。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值