sdf反标之RETAIN信息

RETAIN TIME指的是从输入变化后开始计算,输出保持的时间。过了RETAIN TIME后,输出会出现一段X态,直到最终稳定。网表的sdf文件里面会标注路径的RETAIN信息,比如一个两输入的与门:

and u(qout, d1, d2);
specify
    (d1 => qout) = (10);
    (d2 => qout) = (10);
endspecify

它的sdf反标信息如下:

(IOPATH d1 qout (RETAIN (5)) (10) )
(IOPATH d2 qout (15) )

在vcs仿真器中,我们可以通过编译参数来控制这一行为。

  • 正常仿真时,其波形如下:

  •  编译选项加入-sdfretain后,RETAIN信息就会在波形上体现出来:

  • 编译选项加入-sdfretain -xlrm alt_retain后,那些不会让输出发生变化的RETAIN信息和X态(红圈处)就不会体现了。vcs中称这一模式为optimistic mode,因为减少了仿真事件的调度,所以会对仿真速度有一定的优化:

 

PS1.这部分感觉vcs手册中也说的不是很清楚,后面如果有空,再跑仿真确认下。下面是截取的一段手册说明:

Currently, when you use the -sdfretain option, SDF retain is visible whenever there is a change in related inputs.
When you specify the -sdfretain option with -xlrm alt_retain, SDF retain is visible only when there is a change in the output. This new behavior is called optimistic mode.

PS2.选项中的alt应该就是选择的意思,让vcs自己决定哪些不需要的信息可以被优化。

  • 5
    点赞
  • 50
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
在VCS中,可以使用SDF(Standard Delay Format)文件来指定电路中的时序信息,以便进行时序仿真。反标sdfannotate)则是VCS中的一个命令,用于将SDF文件中的时序信息应用到仿真中。具体来说,可以通过以下步骤实现VCS SDF反标: 1. 首先,在VCS的工作目录中创建一个SDF文件,例如“ring_oscillator.sdf” 。 2. 在代码中使用$sdf_annotate命令来调用SDF文件。例如,可以在initial begin块中使用以下代码来调用SDF文件中的时序信息:$sdf_annotate("ring_oscillator.sdf", ring_oscillator); 。 3. 然后,使用VCS编译和运行仿真,以使SDF文件中的时序信息生效。 4. 在仿真过程中,VCS将根据SDF文件中的时序信息来模拟电路的时序行为,以确保仿真结果准确。 通过使用SDF文件和$sdf_annotate命令,可以在VCS中进行准确的时序仿真,以验证电路的时序行为。这能够帮助设计人员检查和优化电路的时序特性,确保电路在实际应用中能够正常工作。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [VCS门级仿真系列文章之sdf文件和$sdf_annotate反标](https://blog.csdn.net/m0_49540263/article/details/114881858)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值