verilog循环结构

1. alwaysposedge CLOCK) 
2.       case(i) 
3.    
4.           0: 
5.           if(C1 == 8begin C1 <= 4’d0; i <= i + 1’b1; end 
6.           else begin reg1 <= reg1 + 1’b1; C1 <= C1 + 1’b1; end 
7.    
8.       endcase 
9.                 

10. alwaysposedge CLOCK) 11. case(i) 12. 13. 0,1,2,3,4,5,6,7: 14. begin 15. reg1 <= reg1 + 1’b1; 16. if( C1 == 8 -1 ) begin C1 <= 4’d0; i <= i + 1’b1; end 17. else C1 <= C1 + 1’b1; 18. end 19. 20. endcase

 

2. for循环

for(i = 0; i < N; i = i + 1)

转载于:https://www.cnblogs.com/shaogang/p/4936292.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值