verilog循环语句的使用

Verilog中有四种循环语句,分别是

for(stament 1;stament 2;stament3)

for语句:通常被用于空间循环,而不是时间循环(通过对向量或阵列建模来构建并行硬件结构)。循环变量应该是整形,而不是寄存器型。可综合的。

while(stament) 
stament blocks

while语句:执行块语句直到表达式为假

forever 
stament blocks

forever语句:实现无限循环。可以用一个always语句来实现。一般用于产生周期性信号。

repeat(n)
stament blocks

repeat语句:重复执行n次语句块。



 



  • 1
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值