fpga超声波测距显示实验原理_数字信号处理实验(一)

实验名称

基于HC-SR04超声波测距模块和FPGA平台实现测距功能

实现功能

利用HCSR04和FPGA实现超声波测距和报警功能。能够在FPGA上显示元件和障碍物之间的距离,并且在距离小于一定值时报警。

实验分工

李夏雨:资料收集,撰写报告,编写触发信号模块。

吴昊:资料收集,撰写报告,剩余部分代码。

李奕潼:撰写报告,采集实验结果,PPT制作。

实验目的

通过Verilog语言,利用DE2开发板以及HC-SR04超声波测距模块实现测距功能。

实验原理

 HC-SR04包含超声波发射器、接收器和控制电路,输入10uS的TTL脉冲触发信号可以让它发射超声波,并且接收投射到物体并返回的超声波,最后输出TTL电平回响信号。回响电平输出跟距离成比例,并通过计时器的时间和声速来计算距离。

其超声波时序图如图:

1020c0a668411f913a8ba63b40652b03.png

接收到触发信号后,模块发射8个40K的方波,等待输出端高电平时开始计时,并在下降沿时停止计时,读出计时器的时间

计算距离的公式为:

57b47888991118d087c0b53ba53b2fca.png

基于此模块,我们用DE2对其完善,完成对这个模块的控制,搭建的整个模块为:

1888cfccd0704c115131a81170984d3d.png

因此我们需要用DE2对HC-SR04产生触发信号、计时回响信号以及计算距离。

实验步骤

1. 编写触发信号产生模块

320c5d211bcad2821646c0d7a1b8c089.png

2. 编写回响信号计时模块

d6fe798a337a0518fb37be0a4b528824.png

3. 计算距离模块,带入声速化简得到:

da3ce863b02416780d821565f73a6e4f.png

4. 顶层模块

c36c31a766040eb6ff67e3b129579e18.png

5. 引脚

36ea44e452f4174e7079bb9c1447d53e.png 250cd2410b1f03507958767f00f9bade.png

6. 最后在在开发板上验证

实验平台搭建

252a41d8cbaef35006abe7b1343c2bb7.png 71eecacc252c5c5580b18376e641b83f.png

板级验证

实验感想

HC-SR04超声波模块在多个领域都有应用,大多都是在单片机上实现的,本次我们使用Verilog语言并结合DE2开发板来实现对超声波模块的应用。熟悉了整个硬件开发过程,并对信号进行处理,把电平信号转换为我们所需要的其他各种信息,完成了数字信号处理,对今后硬件开发提供了新的思路和方式。其中,数据的输入和输出我们都设置在了DPIO的接口上,通过DPIO可以将外设和FPGA连接,这给我们今后使用FPGA提供了巨大的便利。

点击蓝字关注我们

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值