阻止Quartus优化掉信号

使用SignalTap II Logic Analyzer观察信号,有时要观察的信号会被Quartus优化掉,这种情况下可以给信号指定属性。以下例子均使用Verilog。

1. 如果是组合逻辑信号,可以使用keep属性:

(* keep *) wire sig;

2. 如果是寄存器,并且不是零扇出,可以使用preserve属性:

(* preserve *) reg sig;

使用preserve有以下限制:

    1)不会从该寄存器推断出状态机;

    2)对零扇出寄存器无效。

3. 如果是寄存器,并且是零扇出的,可以使用noprune属性:

(* noprune *) reg sig;

noprune支持以下系列:Arria series, CycloneIII, CycloneIV, StratixIII, Stratix IV, and StratixV。

转载于:https://www.cnblogs.com/kathywh/p/9093972.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值