jchdl - GSL实例 - DLatch(D锁存器)

 https://mp.weixin.qq.com/s/c8kDgye50nKJR4tkC0RzVA

 

D锁存器对电平敏感,当使能位使能时,输出Q跟随输入D的变化而变化。
 
摘自康华光《电子技术基础 · 数字部分》(第五版)
 
参考链接
 
1.创建DLatch.java, 并生成构造方法和logic()方法
 
2. 根据逻辑原理图,添加输入输出线
 
3. 在构造方法中搜集输入输出线并调用construct()方法
 
 
4. 在logic()方法中创建子节点并连线
 
5. 创建inst静态方法方便后续使用
 
6. 创建main方法执行验证
 
运行结果为:
 
 
7. 生成Verilog
生成定制化模块名:
 
调用toVerilog()方法生成Verilog实现。
 
执行结果如下:
 

转载于:https://www.cnblogs.com/wjcdx/p/9862727.html

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值